From f889ec854b2526744b8e678025323897cd2c9185 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Jan=20K=C3=A4berich?= Date: Wed, 4 Nov 2020 22:22:02 +0100 Subject: [PATCH] Test of DFT implementation in FPGA --- Documentation/DeveloperInfo/FPGA_protocol.pdf | Bin 144061 -> 162906 bytes Documentation/DeveloperInfo/FPGA_protocol.tex | 145 +++++++++++++++- FPGA/VNA/DFT.vhd | 160 +++++++++++++----- FPGA/VNA/SPIConfig.vhd | 67 ++++++-- FPGA/VNA/Sampling.vhd | 13 +- FPGA/VNA/Sweep.vhd | 6 +- FPGA/VNA/Test_DFT.vhd | 18 +- FPGA/VNA/Test_SPI.vhd | 3 + FPGA/VNA/Test_Sampling.vhd | 101 +++++------ FPGA/VNA/VNA.gise | 59 ++++--- FPGA/VNA/VNA.xise | 59 ++++--- FPGA/VNA/dft_result.vhd | 56 ++++++ FPGA/VNA/ipcore_dir/result_bram.xco | 108 ++++++++++++ FPGA/VNA/ipcore_dir/result_bram.xise | 73 ++++++++ FPGA/VNA/spi_slave.vhd | 16 +- FPGA/VNA/top.bin | Bin 341160 -> 341712 bytes FPGA/VNA/top.ucf | 4 +- FPGA/VNA/top.vhd | 83 ++++++++- Software/VNA_embedded/Application/App.cpp | 5 +- .../Application/Drivers/FPGA/FPGA.cpp | 35 +++- .../Application/Drivers/FPGA/FPGA.hpp | 13 ++ .../Application/Drivers/FPGA/FPGA_HAL.hpp | 1 + .../Application/SpectrumAnalyzer.cpp | 24 +++ Software/VNA_embedded/Application/VNA.cpp | 5 + 24 files changed, 868 insertions(+), 186 deletions(-) create mode 100644 FPGA/VNA/dft_result.vhd create mode 100644 FPGA/VNA/ipcore_dir/result_bram.xco create mode 100644 FPGA/VNA/ipcore_dir/result_bram.xise diff --git a/Documentation/DeveloperInfo/FPGA_protocol.pdf b/Documentation/DeveloperInfo/FPGA_protocol.pdf index a1c6201a9b64fbcbf2a0fd5523039b24c9b5791f..e93a2bce3fb78e999be5d6cdf91a0916401cc8c1 100644 GIT binary patch delta 134724 zcmZ5`1yogC*RCKfAYFolaDW5SjY=cJp<9|mmvjki>6GpU>F)0C?i3}YkrWWPoA>?x z@Ba6W!Pw(W*0Y}Z%oTI3J;!d{LRHlN}Uvg6d)tg{lk1L!7!cd#GiK;s9A>M5HvD@0zKQjC*Qs%a(qHu$GUvr5Y4Gee2yO)x(hfL5)ge^T5RO zvR`k}3SIA>V;JZ4>7x4UCbb_VgsJ0d)y36UD6;Bw+XUznj2kif&$cCMnLh1V2xcZ5 zkq#NzVa&!#(K>7tdpV^~UmM_0hI57?<$n}>nE`#QHUjx`i`DIOxUSf z0e(`#`uAuwC8$D_qq!qV7}-~Tn!WUNezdrDKO#a%`Xu zZ;1Ut;S5{%;pBWR4`9ahpt$gk7eC4Ey>B`ue zk6IG}P+PP&bZOZM8Hi~AiS*j%)!45OX5R9!kXL3&W%z&PCm21`Og5To=M!6VFZT@N zH=3~7t3@xSJC`MzTbOPuS6r&fB*jbFPCz-j31q#&+@JSy0VRH<{80C zTO+1hE{TC8id!zaE~#sGY^t9+iYckbNNQSH4Dbbz`fe$2YKE+?b#Xe2Q~O}i-g!1< zBe!Mp+gvsK>{;K!-<{sInWFmbW%(WAGR7tL0=;7yK@#4g&fDJYvZWPG3S*t_;k9ry zayGuy%X39cna|8hL#oaCeyZF7TiRdCZc2`2E+WUdgAR*yR~8ZJH>@VAO*(&-TT7Tb zs{yLnvBKTWOD$_wqdXPMWtWmwen~1vK6`ycdn|{khPShqJdt-7*T=L8OJrSUZt-~A zoAh$Xh(8spW1jiBd0~taR1h&= zaYs-n8rYfm&xL2j&ERs6cVtPWD;hW%3IIPVcI||7W}D-1P05{?MSqxw5myXv_d}ii zXaOCRo1H*nX{{3pzu&*AM9Z;5uy*_i}g{oq$-JRa*Xc z=vbbkR{ZddSN&TOt$`ZFi5GVf4>x0P3abk~*90>2=vl?*Nl|<`_pPoh4eVG-!~6>< zZsoc`j6J&S131|2x}-BBg_ZHpAu-*D8baQ4*}~DNA0O&V+8Z%R#)GlbJ%`_*wxgK- zpmppfV!^N{Se>p_S_ot0+A#Mlb?I*(=g(kOo1IEW=%`i;I77r_h?%o_$a4XdA}7R@ zKQ}^ooWnTtq=(MI=($cz2(HTqJV_YCbxVzi!Z>~!C>y?0qR~gTD zal4W2aqB~2aRyxFiuU!FYIU8StqRb4_D`ktD5rs6t0tXlIm$zqKk_q4TN%6q^eg;G zneUpIj*C2BIh1?y<5axUUSufG%*wqUyZAL8W_nviZf}%Ktx#;zSU`MxTUC;Uct2J` z<)nW;pD0S5Hf}!qG$GePw0NoE>zt|8>Zq6N)4qYL`+2R^H9T##UskT)o_OXXy}=TU z?9qiJew9DHZeCT}@5(eVCi}&L2^7e&4F#wDVkOSYkgj%YrVksisa#yQNH2WZq0`Dc zZu8WC*H)vH`OR+7E;eh6#xiZ(i~q?$3TypcWclU`2y!pkLnGh-cE}I2W8mZCar3R2Za1rP8?e_!>(StsSHGWad() z9+`~O+OB+g2mggIH!rlXr~1%5&by!Vyq_CEkW!4Fe8&3+;#T9k%I5$do8-Wt*$-7_ z>z#n5qaE3CAB>4#w`EGpzeDSGPMpq;Wio8k2~kj;9YZcO-lDOQ=W(2Hvdr}ANiI_9 zGW`@E8GZd+1Vsr!a(X(nt{AHhn;eRp-%I<3Z+L@haQaJXWJJ(Kz-ydmREulj`iL3O zz^oL%U_Emy3=vSs7aIMW&K1~GWFnv;@>TUXx?ASy%K~BO zlPz~aln|f0&1nebC`QiJPb3J_PmyTq9AO4=qh^5sDYRJA>Ft-U`%*zsjXQpCVE<+G zhVpg6=fwp|FTSIXfQH)jn^3{IN=L89qXR`XifzJe2E|CHUa-pedKg~Cbv=m$S za|UWfUpA@>UAa8fW9H(lYkqILuUI8V`_zl|aycW|$4B8h zmqzvsHTBYIn^(9Qx@6c@0SmTL38Y;wWW(zC#l*W|@xojG{0zkAjj8W-LvF?Cisr;*1?@n2P6+K&nN!)F6iBjmuQtixJm zJ|$1v+g}8iMcwUR`3)DEYx>F-QfflSRFmuU6P;j*D%V;1$uph)%g=U&IGI~5n@r0Y zj%F0)^(S6X0{6G~guJ6O!h%WlxvjbC*zK*@E0k)N$+nXwekx3H5Q`9kg@gXAW+@EB-Tsler?sh&_7i+Vd@dE8b*M+w@JGN363Qr+f?XnD|Xj7>Z zLnS~O-TQU)e#V1B;wf^(3O5-wJ!i5Kp4u-R!Q^mxX~w8&C22PGk?q1*Jo;!k#$7G~ z8OEsooY;Y2Mmk1exqOH?eYa0ZnkMrv7`)2A!6R<@y-|4V&cK@6gL+Y#Ai6;)mtSxj6S($P9ykub zDmIH6BV!!V!*uU3!18z($CW)6HN;E)ri7G~p5qN8V%CHy``cEtX0pbn1H8)cHs{2B z9Sf)7j{<>5Z75~FijpB8JPZp;Y<@@kff;miEetc)eHiBAoT?P@)#*oT_jd*T-e+wj zYJn`spGz>#>SrL8($B=tGj60REdYN>4Xm85>7v~OoZ`yO%D^1Hv_C~@9DGK_NtW@8 zPh)In-b`@vzSj`9$1aVGVFv^UL!ZC<NzxJ)m)x{W? zo0Wj_Yq$Lq;F&+X%$8PcL(PbmV2$UzA?pMw6Vi7`9TM0TNc~n6V0hy{*xT?H_FLf( zTi$y#|9rMalKMc#mlzSS)<;tqG*O{1F(^?kNWi`f#G99F5@H*;QW3Zq@&@)IM=S-d z3~vzk*hM!O6<7vVkqMvsKlgPHQ*cF$3AJ~je#DADVnrUYdH+8a@P9A-R`gMXWMgw= zcGgJ{6%Z9$pjU`9w(F=yCtAy0EYf}uX%<*HfE;ut^(DmeTYlZnHC$i)Q~O&EbR z9fxHeqJZNwCa%wP<1@?%Cl1Fqm4}X|^~+_P)1imD3_SP_(7{6S`NQ`0r$2-@sok(! z6`@9WV>z`*ZBKrGVMA{#XXr2HEq1*)3?%`)u1|Y+))QTGRaEi{bz41JrK=g3Mxo== zQYl6kr#2TEwc+QpwMqgy500vkeKBBl=9X7|9wI-_E3YnDTD*6t{`@ur9@o-%b3EJ* z+{;!%zi~__S+ncv6w`1>?2_HuJfG#2MZWl|$$NDeYUJtBH05tS5VwA_z4Ud|pjxP{ z4BKXzsrYYq>pQhu!Ff`q=5mk2Rh#N|hfkRs9(T44x5bA`=&KKZmxqZlbUOf&l2blt z6{Z)hVo~($;Zbin(}ZWUMK9}*fmn$q_m7J|D3>2%X#VVIL0_rv#VJ~Uo(K=gB!Ad% zZ(A+>_0HqzJhGKs=&X|s2Z_M4LUQ_)=|H`e%h-wChiW4!r2m#00 z38et`U0pQ|73p)xur`V)7Q)mksv@#6zWG^Fs$8eo?Or$W+~8>1s9*)LpqZecA{6nq zatA4M@;CwhXCEvHw{oTY@DK@}dl#4u_)?_(WX$|}(DET)nZo#Ex})wiJpg?#tinax zRW^oWPWax|oj(FiuHKkMUZ8vzpYRn8lnm#(Yz&u_Pcv_4lpjAKYLW&=`sU4bWS~U= z-@-V5DsyPapB6^b?|4zhr?F?r?-Y0tv$Lsjot?r9V6WmfcW^t)42_LwX3m2pnf$%Y zr2KT!w3yBK)ZNW$94}E#Eb(st;Qd{v zc~xHbZwLp^d%``JU+}x&XY3AE+3>xuD*O!-E+4M$Qx@6EhHW8S(71^Q7EK;&EH7SD z(|xPNUjwcrE4~P((8}cvHz`sK=1FqQYDh~JP+nsuXiM3zW~Bz)InDxq0QqXu2%gXOdxLTkwp#H!!gXn7 z0#5h4Lncu9yJRP0&NxEMRz~}V@x$38!ZB6(18VQLQF}TY+85+~jX|MdD#sW*MG3a; zIcH&N#~7wXmT3}h>9psOPW}$F$_@Av($oKd5!Kc-vwnH}2Kli5LJ2U+3R;JB7PSH6 z2EGE=meG7`SL2>75$q>T?rbyolddFAsri0i{gIJg;HzT^Vc{ARxoRMAOUR#*+3LA^ zR^J~gx^r)eydt>K`y-co)+uYgdGz>V%zZaPP<;)CtpKfWl8VuNdz`L-=8|9LDwd6z$ETxx-mBS4yIHSh>FNs;t@TFh6CVHi z2nNr)FM_wjvMI>l*F4n!?q0K&O*nNfu=Gq4uC#CMv+D9r=<$87*9;hy=HTVA{)88| z)R1lc^Q58{d9kV2oo@v}+;EB~&sMr5x-{bAxU5Ge;;5A34fG%Y&BcEZ2=csgNbTz% zVk%zF3rMfg!du9(eSUR8BzW_zZq@`+_x(2Ig}U44+_~q@uIXO{nBXqoOLO*x0W>9E zq`S;MigsoF>f)5VE}ZQQUcDw_H4i?XsNrC@C8wAK- zu4ivASdj^GmL4gz7DjyiR8N6cTtJqHDA~X7dEqCa)4#F0nzz`1;t}_C^=nEOH+jtA z_AJro?=-Jn?V3BsHjdMn-gYoF{#f4|ovCcP-e?}6@INMVNj>6yKLTvL^r+i@;m5l` z^-H(5eUR`kMAf&zTJLU6pJ3k3`$=P_hXdb?&4``-8{w^PWzQVkOidxH;{d0Oy+h}0 z_;Z^es|0L$RKd~9L%)xOw9wMs^?Vb={%&)*MHIaV(vd>SK|#rI+w=4^fjmfrbVCA> za{q=8t9|{p?qoa`Onbzo+V` zyuC+~p$8)V8#FHjy;d(gDhBS)IM=wCHgXcAsml`v7(bO~WwMteZA!!jVY!p$4AZyL z;D<2ar(AHr5}tpSfDkA`c#gL6*0#=caWNzaLS#Ki3!X%kBl!blLp}a&vz0n&;Usd47>Hi8HLH1K~i1E#y5%H7`SLM z3cBK%3sQ-I@677{SXsuMsze2G*L3f58z_b7UHVQMXA@k{4 z@l@+^?x)MweV8PUX8V`Ce`@fpth+h1ET-STzy=^oeMbG#R`_C=*4nVd@)X$@Ean-K zU-F3GnEjkCaSoOL1NqUfyk^Q7IJ51U!Tx($d3ELaX@qqx|IKEeS9Q5Ho2f(YWel{m z3*I7Tn~>_${PU+x;>zl$x-z(1%Il_`{&f7+sDU!8W$G-QVA7A~5g&zmnVJcX&(SF! zIz9q|%8vAZwgW%4+d7>zEVf|PMg&?ctaSg}AbKYMdqwEpA9*1~>|!78PO@)YJxY$2^zaiP z@|o$|K4}3u0_(*bZPpXS_6FgobV!v6=`_N;E^np^T9BH zAsc3;-Or};t_(j(K|E=pAw0!BA!1*gfk2S~L}eoOIN=dkFyIr<+esn(5QsvTKnnS~ z&sXroE)C6}2C=u#2~*`ugPfr#mdKAE0^tn8+VnJ8L2Q+F6sUw$W%yYN;4*6n_iggf zrsv@Q2V+BFcikXIb4ugW)8_<`PjCHvWc3RMS@Zs7b)Ve&A%FrE*QOV71NX#F0qnh3 z5&J9G;$izO;vRn0^JDoG^BNt^~@tIBBeauzYrd@E{b2q>E7SVX^v^@+b=f}Y zkH7U8WS@l*o+)QL?)@%fZMz?8U6^Zb;vd@*Jyf=^odV7pWzFTr8z@!-wksNI>Dn9W z55K+3jX=o!eomX^`BM~gp~X6b-M-RiIY=H=_0A;PX^X7g1!Mji4=(w~O`Uu8u+aU? zbwS^7ex3N;pi2AwQ~!&UI};Alr61Fl%Rg`3)&?);^Smz=tJ7rd4?<1;kgE|l(cbN3 zjBK9C>(~Q-Rsu5|iQXR}{E>ePn{2dbcTvVrZrNTmkS?Em{u(w(=U6$A!`3Ua$(B2> zFu^8)cuFJP6TpZ$wbe}k4qI$6mNH4+a3JG9`Q}BQt@81yK?pcA7`-4!oiOfC0`74ELXzVFUcVF>vyvUi9@s`Eq8}p- zK?oWFNUD(VTv;5F{|~106$@pal(?>V>~hz^$YpNmedAEQiS)#JmykS+JD zyYYPn7iP#?RQ8B>wR&qNtADZHAxEXPB_5m`P0h?VImrupFT6c8a+ep(&CgHsh&eZ) zKmBU``23E1oyT{}ZEmf%Y??lE)0pKAt(x;9;BEB_V(4O;c0d4FWb7MtBbuOKaL1Z=4MB>c;tp>FERE@-_~!=$;!0W{ z@S?zN2`RCHzR+B>{$!eFrLmm5Bd}=8nZFYbo-s3iak9p*n3kPf=7s{&`?q0C%WCQT z*KWAE$+p#nRz{}HM`Ma~bj)MJoOcI&Qx+ys;2~wvHIY|EP3Y|63~Zt9#Bc~kK)qk& z!p0RI<-I=iwyHUISWT;~G4Rj$#rgV>8TvDcu!&f)2wgs9NYW%_|EMHCtp>oldL#eB zhG{y9^w%ThOkPz~;bGJ5JJ{jX-+EmYbD@TuWqDoWEkWIL&MhLJp|xM8F!#qp_5CTT z%g@k^IOU@`z*+rxpW~0otB=3^hN`b93e~q zwuPQ58@CzZ7JN%CJNO3-+BIqN6M8NP)-ZUW`8YdGfg)*buf?qK}+m)&Mh zXN~eP5oIZjQGyBW5BxEqDR=#WlPf&|02p{g`g5cT^(i8s^ov6Y6rrOaf$9?g_PBGD z!i4&$ANxPfg5enD&rFu%h+qW<8U@Y4YdE zgum=j7!t8iP=WKVi`&0AX?`Fq3u7bVgNm+rAs$Ev6ui?1N*KPal>Ht%?f=1GsVgT*~Azi7opQiH39J@H}0x&F8dCof7&Q z&~&gOgZlA9gx!`y*$7kl`>6cc`e`A@?zjc1-2Eg)INOQhTRN& zwYP5t*HNbT^MMl}Z z`z+Dq`ZVFwZxUSkXu((N%-FMD@VNXG`a!sWS{U6lgq`!~6i81L_ahTE;(KqE%|sHDJX{Mj#j$HWOvNX+GNRz2IK+1rs>z0Q(uPD^PA$c_WJ>^*`PhkJY)!jgRp=h}s%`iCXp zvC=bzV2iynL0HFQdYYB1E9%OCf%H;Aq5alw{+OGbusPNbe~a|)>Q+Dq;Bit-NjJBetY}P&rqQj0iw8^ z%*+JSa(oMShoZgV0p|dC-&m3xVZ>hk*rgmw2(|P~zPLHFNY|2DBFen%$y})+hZwJb zszDcy0Wjsz7u$meDY5o9uS?^xpulfY5{e*%U@GP@!ley&3yCeX43s{)*rd*hjOJC( zsb#W>XZ2x1gXt71o4BF#F4c9#1vP4`e8UQfSunAo*c>I=5=$)eRKd4pg11~Pu^e&L ztN{$M!c%^m3hfH041zdz!x)2e6|(BM^E6$2wOme<5Ck}zF5ejhi5lw!bH$4q zI9ax$n_1tim3&LS8;k1$y{pcpNx{us5R0|GRXLzOlx0^n9oG~&MjHkx0EH!DQGH6# z5}Vo`)Wg9yCEu!)cTjY)x^Qxbnh4C9_iz07)C!on_*!-5Me2V}jC$qljhRA%Usf&<2czKeHBZ=841HDk5)V?`m~OBX*W`9y04;>JUFe6aHvmv3*tO zh*I~sd?UoD?5Okp2_Iq*7^o3=! z)-Tr=u5?wm=5XsP-0-s*`KOC_HI;R@n4TZrVmn?<_YEC=?2dHuBT8y9&i`7wN^u@p zGxhlYr6XIlZn=k7BxU8k0x9tYJjPio`jF>evX(&b-&}!$C(+|iY z*Af~bPE2~>(hOr%aVR|%fv`)QNhD%24Gh7skdk@`Mu`okN~qv+ttdV)pOEcPVMevc zxU7$890<@N)~*=`pD>XdDG?qK>h6ysC|EURsh$A4c;GnfM;8+}kGdYt6}MQa0Cw>m%hoCG*S9K#A!CRk4Q)Ax<#7lSS!mV5Cp^ZO#@{yB z1BqE(1RO|%+ZJJd9GpZ&A79y@)aWqwIr3f-W|PoJL9PtB;yC<=<{~qb6sMaw$Gey= z%Ivp$aSVoTaLu8{;GQle2@?s^H1Ex}j#njG z_-s6+b%PbM0oEK=xtPtJT7BOiJa_VT7qUrR)tst*Tkm=euKykeD2k=zoIuyG=6R*f zQNJ!v-`h5P{)0Wu>!!4sWj(iq>8$W5#?^O~4Y;6GWANr~xnl zG45`bz~=_O-s62FFMr6S5)+f{YNTHDVj0@;wzU*$C7-`*e1BXL+z)?uUQ}(%C4rSx zxBcxb3LX=1$bWl9Z@CF1VCe#x zB(+rP`PL0C=oe2B6PH%)#vb;s1~gCue;(M^uM4O3m;Iw(YEQa?|8#zrbuQbwv6wdv zJgKE3c33TLGT`uX6nMU^jy*R~b7Pl7nkeWf3XvS@XGPETj8~XWQC8j6;BqK(-Aoi3 z57#zP8m~{oHvQ0W9|?>#>5dnN=li6!s^UC1!;E^B>@pvta>=d*)e_3tG+TKFWKeWQ zYf<0RkwriOJ$iHn}8k*l8{3P#r_vfx3 zzEN?}t#w+`Nvvs@KN#G8Q3fpiyqCY74{Uz^oNs4B_9O=b_}eXy_#h}p|E7gM1$gL~ z(gQTwgzb_MuY0wQpGSOZyB{z|L4EH;QfH2`PJc;UrodY4=x5dPBsOsJW^W72o9}HviB^@<$2@r{kF?G_z&hC=#iU_|t2+s2{4>-by0hyEWuHM7!tLR5 z_q|=^QGLXx^tPq|uagEC`k(hp#l>3>?XGbT2zVRgfcARF`sd@LbzLUcu3L|K5A!GO z02Coh=0_?c9-hN&C*e-a`T*-SuvxQ^=`7r|lWSi>?}k zH-EIs4}MM~?BiwVZnLCj=zM`1__tkO&Z_69WtHkeB;wr?Iv%`$Tfb^Dc^9E~E7#e2 z>+f7wo~A{292nCb(ch;|Ku{i8Cp62iup*LuG?(SA3Q)f{ zb$8*Wy*rhqywiU{Ll}Tp)Fs`=l`wd&uuevFU_C0GRHo0zBIYmU6U%A@Q)0xKt7!^n z=b~fEt)^)1RC_1S9?Q11PeR{lMe#GYY1TN*^TFbfqi;fEwDt;J3|-ZL0-iiP$Bunb zI^_84&sU~|=)>3NswSjN0eB@{N&x7J^g?01NbyQ%$}LwhkY{-OV~7TcbOlB+>9qFt zjF@M!-0D?aV4NIXQYHb?QxJ*|BehF{&qPA=q$=wvJp>_nApvHDxj z$mknu)V(iCSb9RTzQQL0U@9mecrV8Ny{|-`ZU$J7`8{D$)L{0cCg7XFn`B9*KmH7PsRO!9qdKK zo!1Df3cPvOkF0Hi`e}e9?zVg%5mPL`6}kRII$Wy|3ryzZLqdVnEZDB9BpY52>VjU@ z=j+vDB1)o5z?MjK3jR=Cz%Yb|x3V#K)Z`f%AgYWamNCgU8Eb&Vsua#?oFBcE%;EjZ ztb-xiSXMJ>_cRY?D2gl@g=spJ=<;ZZhM@zbgqW7TAqde5E6r< zK0%==jP&VDN9+gj$uGEh1}3tkVi$UeRU7z;8~}LLR1oEklTF$#F!W@#7(y!fhVV_} zmE{mg2~i%b3nVtgDq)0IfW+ubWNaZ@8p?h8wQRhKtoPi)C1 zN%>{@eXz?5`_|OLh+oJSs{mZ2GQtb~2`+#kK80Vo&w!iFn1%?P^I%S6ajzYI0hoQr1Hc+H&TM|eow%K`SP_^1Ich9%aJTuqt2b0}yb z?1P@;4PTS`&UQtA(3td6EJ?350_R@_oc~*iit|SqYWOk`eF~5xSwp5z|E;MEisvVw zSnVUnua#Tf+H}*0=rG8$ti-`LNv&P$vaW+(XVLMINmasCNmZk<)_GQ(#u*8W(_X^) zrh~D)Xu3HKw}WHS78XO`p(7o+a63qn2d;xCMk74hBQK64oyR2-#TLs}c@@L1fCWpE z^3U=MY0U`B0_Q#^QSGL$vKE>L<>+eXjKB*L1O8_w((aj#s1Gpk?eoCX$T*M42c{oFI@Gf!-p9sW z0sj|-3W9{c{tG&UgCO1ifCPa)wz~`|_Exo!Mg(2^$XpT=TE;%l!RUA@Y4+9+m9in$ zN>(w38KDHe8yyAoiXjF_<%%m^ccyf;afXPKiq49(&Kq66TT01yAJJ4}^ljNnpOlqg*Aza=d~QG$IDU6qJR^uOiL1Py>Dq$-r7^4XPx3RX^{ zIH56dZdC~ZlQMUdA>tfJ&kWLI7yA={d-^C_1LPxDd&UaR=}(DDkdMEF$O2p=Q=x{B z46Pv%wQz+H9rOP0WZ9})v|-df+&fpV=qg7dz?axNlA64;im8ZB1ajz(KBi((SJfR? zJV08duv$d@LO=*x*K{D@%gZ^1Wks@Jupj2sOXFq>Q&-@cAQ4Df=jY%u6WCPSxLU@aTHD3?+jjai0urU4c- zjG@en^6-Dk7EVS@4oq928Sks>oKL~KH=)`=ekCl*e{ZfpdwtYBmuA|QIt?%eui|-s zooSP$qbkZ&Kk&G?Yr9;UyD}O>P4zHw3;ymHPHR90MV*S{F(tJu4#%}bKX0iY5EinD z7h+gm{--T^r1RNwTr?)j5aA%|pwx?OcvGXmrj!?P6fjfCBGU~>V|&%<9gSUD~kt_gf@A>1O7jF0Dj z*gB@2)ec7&e!njf1W^l*iy|9f4`%$x+Qn66UotWy9R2||>5iM{9S0vNoChrh&|>Hx zssvLXSU8n9lt^Tz2Mf?d_AtYcxlmzJwQzQo*PGx9FD1^JDj{c5u8o8vs>}eo;CWyl zCSpO=4`$C+H0ALjc9bG-@|eu*3xU8P;1$o;x2RxkR06DnS zLMjrdEdq6@f;zz1JHkGwD7vL7FD7xrjf)^A;3z@C zQF=tWkC`0c-oGgvF=$p6-9-?8X@Lv3Zw`B2>%J`}s2$8e~ZekeQ7&$oF8yi?Z{`zESfx*E} z#YXk`Bpl=xKRdrj?P90TqhW9Vg z(?74_iqeRZ^3VCPd0fwK7CGJVWWvHQ+%ln%57PM*mH`PePnDEkI56omS#Q(-!yEWe zMVg^nQZQ_<#;Ezr#M$ZLM?I;2Tbfe`aY>|XsVSvN-nHzN-W1Y9lXtQYuOS3*<0TL4 zerDD$bT_Yl#p#a4+mRg*;q+EZC5|Qb2<7?|{5-GRf~1*Ig1`B%aR3QwV@rB#O7Y&0 zonfHm&-N)>Y@m<#+IboLwGO$g=g)?VUjs!GRiwRv)lCYpd~|*sW0jjQkHq)rrK7e` zC&g}c-jEpPQ0X&FdL%_Tf$y6@=NmaQ>j33%7}VE%a<+7HDx`8~*{LcWgTB|`bs=S= z$DhGbHR9FmfGA@ewLg`#G)m5!#P>rU^U%wnENS zxY6}9FT=<<>Xko9*9V?=^v}1HMYSAarB%bUZ)vrI)r|x2d>HaU&7B@fb4%p;k_5Rg zd9RJoJHrM%<pV)RJte;fij1KGm)P?6Gr~S{@P|~dK%FTA6?e`)k6HPb~lPdQifXjS>@~LB!?4g9TB6I z{Z-2mCv^bFQKkWJ*UM_esk~#HyI5xGZXt1hO_-dqDB4+w$E4{Q!tyMJ8vHbl;)TPi zL7hGL!ub!_;JMmd>KO5S{4VoGzJf!;#f1RnvV9t%6_lz2l5oVm-IQQ^Ygn7N8u7L9 z2n%WGqs)gU$`_ogabLK7(B+!0$b64Zj@{<~J^4h-*n{ ze9q)%AMKb$er3AjN-{&kv6PdA$z|;9i_|k>%(8zhu;ruoR1(nVyW`3^sgQ@lS|3eD z&{R@YA%6kk_UDVI+A?D8DhvyT<4P+B!5QV`E@*NbL2N~`D7j3feG#!iZZ-fi6*Kl! zA~Di`#+BoMgiA2UQibO-@XviQ8xguro?9*NXIq7n^|$PXVh z(bZ6Ai^oHFL2#D^h8=V7BUqJ!u-`Ci9qETgNStyjS}_z1pgLKcT&6;x0Bj{C?58QY z*XvmdsUQ>F)cBwz?CzKeZoJxIEs+z;j#|mfK%v;@ z{#3RoEEf&j*Exnm8h%r|ah0@>TbUy-OoA^>msG}H6laSTY_i&Ww4z0E=z8HGa0Y2e zBZR<^fu2aQ&oDN@8jj?KqGrgYBe=6Og%T(^T)ulvGeCE5}soc6Xw{Yz5A0nJDBCqIO+f9_OEk(Rkb8TGS z!hXHB5O5VAYT44*Xjy(pKYUU9YCbu42`z}No~*Q#vW(~Iis;+cUtgV_->Xg5hw%5yxy|j|N5-EYxeTc`S#Bjluj4^4q}!?GGWy*-u>da zwvWzwmdIm)pR8-w7J2JsIJb|9e`$7Pr7YKaHd`mm_hn0uJvgHR^Sa&IRVU3tqr`tT zk8d@SlI-B9b$ZO0`lGygf049^YjSTn1kMiD`%;ca3xK7y`M$oiB)AOxC@rn+q%+I3 zS+~t)bjzcb(h=!*;_uSd#-9X%x3{M@^_A9RrYBR$*cmt23EP%C?rzG7p`Q2BUFFJ2 z>osic!X|Z065mz;Qm=~iXIMgADYMvp3lz7GL{0B1y1gZEZVAXgd)Bu_wz%v{k3Tf7 z+*#-~QtKrrb!{I!H~A*K9cOq&L!=?9LT6eOy;z_~bZ`l2flXsoT;)Buyt+S`S<>GCP6a|!*xvVMSbF5Iue#bJ z5~{yY$eOd1`bQ!Dq0;N&lcF`<$VyMEE_lDgOtNDxiY-oxwat7}k(DapnVss-6`cFe z{`ch%eP`8_>JNpYWlpE!i1TA@GBa3-k7bjkRqH2F;u|vLc7`E`-Y2G((o1Vd#b`;} z*;7edumQ%CfwC#pI2@d}{f6J{Wa;YH3L3gC^?fA3SjBwgP zJw<(~7>!9}FAhZ1Or_qsw$R&@Sp$N`?q)lx(y5a3>xl^VbmP^&dcwLVKJ&+yQ+mO` zb>e#tbA{cM1jSbh27_#>v>QG44axCZjHo*JV`etrQodj{ITNbt;<~gu^y=|-VUqiq>NWIL1kDEFHg!*&nd!C zb|_$Jpr${rr0)NRt*?%XBWU&wL4vyzJS=X(-3dX0I|O(4K!C-a;O_1a92R$XcZc8! zE+M=j`R;r7zH`sne`>qxSG7~pHNDe4RHeUAZA+=S@kM&OHqdw$p&zE83Hf(7oj`xm zLxs$?CsOXHjkm#1W<<;^84W-7W*{69W!{;v6j+*Fm614+{dLpw$l>qTqO&?;TNege z{ixdTMmy@<#UK@LF5S^goZ>_vN=pnD+B(9M`tOHzkMvTJuh%EQTD#*TJ|z8|2+O+R z6)g=iYAf=_iYw(DCKCKmE4`wr99d#%G+A4SSYOb-hz^6#@(Z9{nxE#=Jy=ZFna*$4 zFnq~3xcfiWCNM}VKdz-zWEnIdmGNZM^XeRoKhY@^@_o`}DjB*Jon_AD^cy8S|F>5i3`4(? zR1fd1E^VRlq7BrhUm) ziSQ?i_)mH#NxK1L!?ao_cw5d7h8=&CcFM2=yg19}Rjn6`?MG8$Uaber(}0*#NKU$8 zpEs*C7WN|*4|zy*817@Al$^pz(z`%1Q91yFoI|8qFAU2NzCfc|FJcN1`uLJzxqy(; zi_pja63S>_WF8v&$H(xE8&DM%1C1dKXvQy^!F!|v1Mv1Q@ZA4__n3*qd6bZnQ#?tc z*b@#=sas^0Ji=nS@kgPanQNlfLvVs0^)!-9KDLivzXBBS)DmM*Q5*la{hbRrDkc#=`wa?Q*Pug*CIT_gY);wVH99{NL|ZZv4o8 zGO2rC;J_7p^!}~Ynk%g7G+kO?2{YTAdBI1~6UM@_75@$%u$^A{^tzO2<z4-L-l=PdWQc>;yGA$_Fh@sYvm3cF9|Qyk}ef6Sq0yAuC= zj>C0fWgMrJ7PHuBXhlg3IjugLjJo8qnf$ny!YsjY2wyZ~HU07BJ}VcT>ip8F#eI6| z)NtnVDf2;-`O5j|Vmy89hxi2u?1w<4U??fd@k(00mgD`1C=#He689}SRXFaGgD7$i z_DsG}1RxR@k1oy)K;xIZh`h8}FCs@*sm`%+edyAxa=f@7(g8KBj%1>=r5^{lGrnh! zzz?N>ak_osi$Xxex|E-ECg&`sKPtiJa;+tUh{-tuK^cET0MHlnV21=5w7nGk^=Bt^ zPION4HzAdp%s1-tFFlAk6j7t%(LIaGR#kc(JBFLA&Vsy)&01v>7Z;kJ1xH5A-0zwB zf3FXXe%NF6xXI|LQlz=R^8dbVud=}C0fsIz;LvI0O@BC>wQ_2ID*l}2rn1Mu`1Q@( z_{y<2k`PYtdAhUJIzKwR9O^RXWh+;~Xy{6r%~!jCqZ=}h+u*&u&Yx@kyNHic)o1vX zIg%>o_I-FK0>^*0ejXs*yeS*I-05(7cTq-K$IV2$Th<)73h^t$>+y}!r zO{7`vcj%d4)-`?bTp7BDxT2v3Bz{hOwXAz=>UDe2Rcy7=(A^&Fe;-bs@I-&P%2#Q- z&arI*?Z$I!%;))Pqy71dAkUjO&hmqCm!z9WYu*~CKY85C1WucI1ea_d4O*7ZwLKX& znAgM*>SfT)Q-deH_g|9|H7)Nr{o(vbz3!=S646k z6NN?fakJMowr#xan?U24n)_w;sJW@iR4}E5>&eq~Bhf3WS1_SNlgI83sAG^V3?7yGRwwJi7U@}-F2@CFaw>@&%ry`T_^WgCu9UVB_g>b~j_ahuQ zylxNeb!<(Y48XHjk87@=#jB=`3a_4(y)i`ZbueEVy>!tPB~Gm#^B-@B*5mD79~&I$ zW(}5D=$waP69~By-0Xfc0AnvC`}U52D!1CwQK)NQZxtK|x+@Y;79*UJg`pJH=0~HP zB>595q-P5{*la`z6c+&)du zLU}4M!HvO4v@iQmZBXYBi#lx~fzSYf6?{R$-kZTfibJn`k2#-Z-68rQVfG=;`|@k$DkCH3`^2yl`kr!>;9B|onX8b~t&Lypn} zG)36f6^heAER+L^elk;plu@Awhp0I)alqaV@cbP+A}YzkG0Z4#x0;78QW$~DY7la~ zGGfC#{~LVV3eFvxu1>3fVgVExy=cJR=}f)KPZ}OPDq1+eWl6Z+iw?y{MFu3?Xmj#K#$QBRa22SSly$U`0gm zFn)c*JdLkEJk|=0G$K=<2AgaNY+pR@XEC^!-zpPN!+IutdpAYYdg!@}Ys$xMFW^4! z&A?8M*}m>7VxQ`k@#z!(6`h84GwS;LMinhU7q`DLasj0AaQuaZd2stt6Z>87r2MCOr`nC04k0cASfw?a?roQN%dLf}h6SIS$!y?8^HFZpzy)$ROURtP zqS8$+ui2ToB#&Hus9b=*IonC7nOQhn>Fk85F4`Zn{8|ZE^x)zAj~_s()^J3Iv?#wV zOXl|ar8ZE%7gq()`lqly_|Z#6;NW;^tK^;^`?d41EO6oLEO1R3vn`^_ENR$dN1jZNf8OEK+BCCX zwDWexwx@TqbRW*Ugn08`)MLh)nOoBa0sh^;N@@5Q1I${vw!+xjEhQ7V-hIrhVz8Hf^UZ^S} zNt&TizTF#**#(yO<4B3Z8k`W&UmyJ*NVDZe0Yx$=2l4`%w)&XRBBiH@9H7s=G&+=vraccGaS-yeXtye zMBS9(Eal~eQ6ZdjSH~xQuTNr$42O3m5UB8MJ?KzZ*yam?ceUIWC(7f_yjWA_Dkv72)!=KbqxnW?6`VBhsc5wKb2}DfSx)@<0=$?9aNwGX z+h{K9EjJ8zQGo#fzNXzgiOBjM878S0N%kJe$b_D7WvSUkp0|INAkPhto#WNs9Z1$B zHqcH+_;F1YGES$4Pux!37wLpeqC3Ig!AhpFjHWDS)j#lW5xlvn;MygwxT>rJFj8qu zGc%@@Gx;RR( zik(MdTgScB^BBo#l6P;$p(WJ)qtPUdKyh=iF?sLWv19c!Q=2Nam6;Rg6N}NzqWL{p z-quxKd4IA)m$h?jR^JNNYucdcZD(VMUx`-16b$${@hLq4&wJX!&yE*b-6lq9C+sQMQF7=zY)+T&X&`>&p*MQ-nKtAU4p@U{(Dzv-&-%n%51VB z8hYy$cms2`$K-!J4Iz3=^Bd)y;c0j8?Uz^VOZ|zo8@L!B>>CAfZ7g z69YqQg~`R%n<^-ZatvScrz41%i&L18=IzCj#jSjLzH``qElcC~814GemWUO&E$4n+4CV)bXiFmR&0c1S8q3!!MpwHDX9TaIhz0n1tc zBzAhceVwcaKOU%h|i=fXixcK->Qo9|II}g_vmyHe)u$C0RxD7sG#} z*Dg{Ula3Q*uf-~^MQBBZA)8eAD08CQScZm{1-9B!6EaN}NV$V7h)2vC$2}X3_Ec}l zx4bT*H^MLB*XGzpe)!VN3kSQA9l9yU_I!P03nmOfyXA&3CXrfVCE4u4OR#>wqlvqZ zONr>pj~(*Upp-twPTo0FACR$b9^(`H9LKJnh`$Q|8Y?KfkWpGGhvx7;{M-v_nkbpZ z06gsS?TzP}+fmFe^l{7=qqR#8ji~qmNVeNLzjNAJvGKWEh7z{Dkp`QAK6G3ZqlE9$ zC|$>1dS*f=v5;Yy^`j^8E#Y3XfGMp*4~=!{;X!RJg_coFsMnR$rC)d<660 zNVj9E6zz_zXyqAiz4OpH-$DGX`T5X`k%nBg_+DBuBbV9ECJ-A}smq@28+JrzWXV-K zZhSPIidR9g=n|lgGEjt>qw;bq;1E&a4L7W;XoUolAH&~<9ioRg9Tny4nTzm*v7)Spw?U&W381Suf=4(NIf7PGR-@3g6F?|q)i zXW=#f-U|*9W`cc4i^tA+wUcja9 z%wndT$-@nt>1mw9U1 z^x-n<`E0)erksgUCxRYnAFZI`-C2~n1&Ey$ktMKiqedO2X z?imwz78HqQ{Nanb=q(0$=UnQs3uFvS5!$?U26?ms=Bzz}U2I|6q8F;@zf*b(j~AMm zB1%y4u}5PUSJ57R3=`;o^Z(k!{eeb6B+)Ed`4v^ZM=ifsekR!n+uww2)667Ml8@da z*v4i-C}?MpC(WZCyg*h$1k%ecu_$U5%h(<6BdmgGDc8u%hocE&_ue~(sL}{ea{oB{ zBbm!99!x#KF}B`fSgLrB8Gf(ycF)wmdeZ+=nPg+&7e&*Wjm%>+Vn?*o{9^f9FKA;g zXoc=1_wA(<>HAxv7zm%%irLZT?4hn+zq`B0JxpO0DDJ!ap$<<3?|@2V&nD1r)`#b5 zT=YY>ICFSmcH;kj4n94J#|*8{qP5GR>2drHnT;Yvq>2g>?J}S_8G>U4!_9}Bm`A%o z7T3#r1~N}je`AVHafV^^;APSHpfP{e4PYzphO+2;%U<5F4#Fz-wce z7X5dG-J^vBW}qXv1@_eB2Lk_(NvQKGtfWHH7fjWP2g&BJk8w6vP-oRR5QcE2CLw52-} z8NQr8vFpTDi9KT?-?^;(ZC~YKsoujDWpsFXk495l0ngF)Ztx3qBRH@w4MuNAhl9w3 z)IHvd-!0FWrSqh~d|Y7l`PudT3^GmjA1B9s3t0rW3E6UHRAOl(t%H8l0@h#PAm6G7 z-%J`ID{zJrY`EIlYp3sYh_Dhx)KSOLAv>uVLOP69cp8Dn-u;;ok%~*)-fOx^9(B3m z)xkIB*U{Sga9`Qg@{~aYr#!(<4ic=@C9Ks;N9vJ!1bgB2;+4bCv1-#_4G-8yf4 zPrv@I6+~~h^0}!eYOtHQH^8Q2ElN! zaQ%CRBtsimU}=!tcvLUm-;+|cKt=F-b;u>p|B1)N(P1?qz4RTLWB92WasBR(*l!05 z6L3&ci`ch?peuHMBQ>f-aW9x^Dfj?feV&ZgwEVcLN~PPo#7oqbK6plR2nzG)R`=Ob-W+^m<8~o2gV8tVA*NkJshurowQnjy-+XI?ZMVE6q z>q`qlv*(E|UTuL%OIJ%L5UCl9hYwnxdq|nhI@Ud(7LxYzrRk{Rd8~Px$A{lB(|_&d zazW`Uc7!CKAGAC|)>o3!_#VHMzG-b*u|AD!l)=-UZCN?Jc=B%6Jjdj2U?>7>pSe}s zf&Z)%?ZoPjKMwS|*q)1mJ32b&Qt!|; z@qwmxp>Rovw_u$L{G>!n-H4_x^ql$$_vijX9Q#n2T>pbM)Bd;cDmp zbh&T8{ea*!BcqLSI12ZREPEiNZzQ<#e?%D12a*_T@uo126PTBxSv*X#ZmecGy z!wal_emipAlFuVyQHIe?dr2)@^s!_XhEPAYY&E@V0~GyeIUP`ys;t(@!JJ7kzWr__}G`i?v^e?tAIZ)auC76e2}Iedl|tr6Xu??b!aFR5Kck=m@{G^ z{*yLw^0-=@$v>oqjUwF=;~sKDj$@&Ozytp=1Q}wGI4FUxungCo!x>d(PAZRJQfv_U z37bOE&(2v83sV(Gie}d4ydJOnfLNL7#SPmk5Fy$9 zBvQoxZ6bi*j51IST#RCDwLAd5Cas2QWsmtS9@o?VG6nsIb^at`y)SU_Lf#?6hx3z4 z!sx|cgmqLuAVX^ZSq>NuFBwok!nw($lwm%E*5vhK1>HX@m~yd$@ChNEA1-I-Z?{fL ze3;ji5`MN_O5?h}-iR;D{hIf&C+M+~3>$p2Z|#{|nm?HDfK)pAyt}5;eam-A%n(Rk zp|a-Yl=drTpCR+=l_&KzsM{My4m=)ac35TB_*F;x>#H4&N_mzW_TaIDp^4iilN-A? z)jJ^kEDK$DW#ffPwMQC^v#-nZwN4hFwCJYBi);k=fWyO=m^qNY__z5-dbP;lYwv^Y z-_-#dBR9?n$DL9V5l_9B7aPCALR079tOGk5&D_X0TZ$4f^=fAN+t-lrF+*fG*W`&F zU75FV%ZHI0@j5EZ4&DkPnt;me4`v$LGqz?DOJwuJ-T*A>lTk$`zHUM`Co64!pK4jS z{Oo}(m6>Y=hoQ(!;<88C~O+S>csF(Ps1@3NJjUz`!Jk)Rz*2?r?ucf>Ci=W<&%YX0S`lr4n=o z-pH;fd|2IQZ0zaJM--<8aF)!t!-447wC(UT*6p(r`!XnOdT--K$leWmDT+&ZmxS*o z5z=&Myu%Zf&Hs~|9sR6?chAf*39eRP3doj%mJ}g}Eo4&Cw@iL*lwu7>u80{y_<;Kw@CLD&g<~@C1`rDw(Ve$J67nfqUGtBYKfk> z;;ZKWnpomQJ5P5o8m5_fj5TTACrQt#RXr7kQf;`6Rc+`Fdke#TcN?Ff=u5p z?7k70EJZI#*7x1;ZQQLcIkrt7d@;USg#Sf5eZYTlvAA#HP{gQ3kcAoaalbon5d|%W zVJNVcg8?uvb$AJF*4K1?I6KMdKM`X9un0=PfS?~gT14Lge6oPffn6{4L2+$XKiVLi zo$S_gQ7+x-Fc&e2YD47NDYrm{IvmPuK@)o1axAQ#`OuPb=?Gne`Otf*EUb}57sD>! zA1;P@7(|ha=Dm`XHyeh%h{AZHj*_F`4__#8v9aeu9E1e}LZv7r$pqRkj8b&q*vo0=6cABKXL#jVF?z)xA7zTpy3cjDU1mGztO{Ph^+!c*$;%9A4McS z6i!!&gDjJOG!3OB2Efh`i$o5Z4pCG9*pc>tU<24^XNbV8i9@F7m?pi&4!tu(tltKM z(J?K0X$p(D3>o77Nyz?_xGR()04`Dx#!j{;ya-^O--GOYC=MY++B+pV{ECRxJ7Muq zYKNj)F*H)zTE#HS7t{Zb1bi1A;9kWS_tF9G{Q*J8sOSaUO9QyK1#mBz{>8u2fPX6i z|4P63Hy&__F5usU7ynWL{#^q6O9l8>0q}1U;NOZ~z`xRfe`^5${)dajeFgBZG~nNy zUha3yfPb|@0sp>WnE>vkXMKI7r)YR@Po;Ks<@wnyP&OZn5)Kn19gHvLT&GKpdD;tK z2>%H%qk-NyHv_*5yC>8^Vcj*Sh3T*tl9S8L3U_4QB1*FifIgF#^}_v0#n)+kH%4!p zkQ{ZzQ=P6$h5Oe0H^+bf@pe1{lA|DxfW_+MCc%h89*;`&;0A*Xehg!L=v^vxc=59D zpR&R5pa`goAbOZw0S&kC@a!&pimFCY3N1eu%szX4_LwAXa&(JMh`S*?3*2B@g-^)5 z-kLb;37*()y_&FiOVy`b{G)KV3nltZ@;-I0PsrzeKfmPQyqcOXOQQ+8G7M=QLkuzB zo0G%t`NAqbZUQTue||(lCqAjmK0ajx%U=gRIf4t@gy54gSHC7(c6Nd8L)S%);nLWu zNSM{h5LaZtlXIR`hr&2*f`tANOZ3`L4nCXh2~s>TmoJ1B@Ahlynw)6#rqq$b$T!=Fi(A~&B&s;F!&PK zT|U)POaaMKZzM$|qV*Z#U)cQtcK*L{UaB34W4v%+^%(FT@Gg0;_z_DOp!+3dLPFUM z!Pv?$R4+Nxey*ex;qy`*Xh=mB^6~Pr1*7Wql#bqwA=#cLMO|624plxOqOw;$5f&`c z^hwg*5J<6-Z>@L#F>Q~!lB!k~aVWrt24X2BB++n93L_t=7&dr@xdj?r$}qJ3tnMp; z$>y|@>}~K$K;$+ciA*V#6VU~Mv5m4@&wwJ1O&M({^-}XH(ZdoAVN{T5`$gQ>a76#H zVH+j4o&%MMRua80+VE}cf-X50<4c))0fPW(Pyi&nSeSzX5ZVfL*pxw-fB`+uv;Wu% z0i8er1tPlui0prCIgd=VeUKb*t`rd3#Xx9l0rfCz#IjliWRZDU)~8db7k*ui%SUXb z!^jy{tr!t$c(Os(Uzy{TLP8XzM}go8&P*k#rPDWaiZd7VX3+>(*W=sCqTWO1C0CTy zYi;#qlEa&+INo$El<#RXvTRT9Iv9LX{iS|P;9O|QtH+S|xYysd47OX{@v_M}Nj!F= zzx{nWU69(7Lfx2l&1?bMAa1zsK5trkyS~;a?fz$5=5hC_VSQ~}z3@$9N#^)Mtu^HP z#KuO?5UPgR8dC>~RWB(bty4-i6lRRE*QQx&nkKn4zl}^w4l|>u--8lora~*`6(>KadJ(eAw9gZ#SSzSq!ho*;C z=1TUqn2%>;Pt!R1%xJC6npJ{}azrh5Z5ijM2BT@krX|eYEsZN|>M|p7TaC(m4Sbof z3*rseHf_JX=HCvz1!37fBa^wEO{sN!W}qn?7Okw=;y?kfRv8p0E!}z$De$YgS;#0by-uZIP)oizd`WJ@%Kjg)xN};mebLUJbmOi1Q=Y!^Sj5&+<`@smTzX(^MXrNDJsaY+c>?3}gJZXAifUbnOjxhJ zdLeUBoIzHp(1jep40v2rndtj*aaS+DMbuJu}CwkV9*uxjqzCukLMYtSskGzh|1uqJP6$0dY$OLATR zLxaGxKylI}7cqDIX6e3Hib~(P!V@J+$QpHkAXu7RX@;M-#Nsozgk8gO3i{>E)Bbm@ zCbxs<4g6bUSKZLrG3Yw6%eg#+vB;38%`mUz^NM5v;=ZP%W7%Xoa1!E*rKr$Ip10t9 z6GppvVZXJzb?=3DVoDE3|KjmE*Vear>Am`4e}_q_n)Iz5C|Tv7(Uv@yA|o< zzArh+CPFgZg1M9*xi&PyzRYtO8E7V3tmEPkTG~4iZL#Kcq}uyXGvbk~J8m2!{KV68 zLfNW|ikN#+)85N;4EA+R`5REnCa)2eOuRyM=b=yE1Cv8?Xx#`5R?Wx*W=r{-Ws}6A zKo%^Eq>@6sWfQNMzf3^f;D-TB*bO5O6fNb!OD3qpCM;McNwn{blddIPHPPxu{!U)g zOv(y_Ovm9TH6-RqnlyO8^r8#O{;oTZw`2kq7-e$$+orY1Vvx6#GXs`p>zc;sz_Z4< zrud1vYU%-C!Y!kJ+q%>Duz`Tx02p945MMA7%O;jIzW;s-@YUZ5ZrLP^w(hS<|LjHw z?4||%Ka=8-wjP;Gk`QRD0V~0O&HNjk|1neE>WOF7YPNIlVBgeKusyyi#V=a4Z3BBF6Xg`L{QZIOqrdYr&)XMv$MDPPT#2NThCqoxX^$qqaTA+?N71x z%N0&e4f*ZO;yYmvQx;L5zB(_Hu2{U;k8)nd@$6tOjRIHH<*4Y!lZsgcU)K_QGC_Fn zkk8;*N+0k)sNGbF1bz^7{YgwhEQqg_^rue47m16U(tf73Em=r@@9I`Frw~{wY6!j0 zm>c|Am${L;+U8ZCCWOE9=$$C1?ou1y`ZN4^tmRWFm&Drwk0mLW+S9tTr2s3plf6-f zss>lxuy$Ut)FH zWQY5k`J@cAg?q5Vcujg~+IH&=JNKyH@bPlt^(vjcdJ~`gm~!p>8b1%j`|@>*w{}(= z<)L*&4MQBVU@FTp(~M_lwy;{t;afQoy0NLNaDfFdrj`>qOy&?g2*t5wcvY6@4(F~Y z&bYDFX1;mhdU;H%X(+Ki&2&%!i^*V7u>sW$`rv(4;ReU)wuJJs+d&0Su&?SC(Pizo z8iQ8}GNesu1XzM=)@j=$sQWeflPdPqO1V@w61ned$6Nj62_6Ur^$~Zn9^;Zc|MMIc z<$v32#`eEFh{eId#r^N_c0J(mc1ZvE6Rk7tSh>b;s*ut2aQ2FprJ;{$pqaFMJlpbj zsr7rav0Mk9kC%S07~07`vvA-{73HO@Nfwes-}$1$^GAu-EDaE(JvsMxcWiXe{`b9MH1>y;P5Gj!RzAA(=_=e39=T4W`h(_jO`n5PTz~itd zhZe|&(n%n?5Q|#sQ(rf&Q`Z$+vQ9qc!iy}CDCbJ&02XA!nBgFE?PTl2G?-@JN?`a| zdMJd_`G(bliTz?(ypJ3*WSgd_$!qAoeih%UMOXTnHO~0^J9?)`Q&Oi$=hoLL~9V%2< zfuez}l|-?MP*i|}qvx|9i=^+nEaCPb zJ#tyQbN%4jfv}&~Z@%T4LVsjKBn&=@U$Y~kS?!dTVGTq)Z$!b~*HNosofP3M;3EnS zqfeHW;l`wE-{4kR73SkYhUhfjdG9DVAhC%9`~N&U9I~6xC#xcq?&43mP;nMmjxlsL zw;v8sBDR77`E~z?M+$&Z&!j4>6^^wSF^=l_E%SJUGx%0g2T@N!A5)X z$+L%fMnI2(4uUQMh?{PGX^|fFd8O_-vU20836sCWWZ=r`&HGAT$`oJL58cirLH&il zb{6^iQ7*8s_@>)pf5Hj0I&txfQlThM&VA6#`W{fqSW2?jNCzutjpiUbr_#Mtk>S4{ zbt=Ca6L;?)L=_c=aqq$AjMu=J8OQ2&(r;>~G>1Ivtjw{h$xxluOuVXGF?52|3W^QyL z&0AXvWx<8*IR2?hM^67$APNg6?9_3e64#|3TY|4NQlQo4Rd560gdb!jE%0~@^7YOw zVn`MR*^}bRi`16r;VoG~#=$;{{hFHOs3m?oA^D%}Rw&{H6tPmHe+#5n}K`tSTYX*%dNk zP@`nCS9OE>S<;7%45C>AM%mC_@5DYUW>M0m0v3D80!OU5()lq#0wujTh%J` z?{{zBb(}ePT#TeQUbnFy#MFp&P;u6JVq6)^M;=*## z=n2VwU|T^%KpRc#?z%Er^qgp3XxV&1iJcw5I>Jz1Vt1v-&jHNShUtjnFB@0Iu!Lp zDhQl|mRn5wPMi>od-Yau*gkN#KjLGEB^UGLUGjZ>v$=I&b|k930{y14)lU%vhjl59 z^zcI6bSwkKY4O8Z#`My?KROxRxyl;Oh~b|MrbN1Pzde5ll31p}Pd&+*p|HtrNfzss zDE13LDHEs4i9~f!D4@^#C4xnoIQlIWGA;V{Js(7;hajd5ti6Z{17=9<9#wCF{e2tW za#yaF_LyzH0+JX%D9)y}=f3*JnmP0-yR7<=4m`-B8pQSM$?-Sk>2vt+U_LI1vAV~P z!JB!8c;*MoE_{m#7;j;PZLTgU>jF~Dc*|7^mA?1#i&L@tGwJR~-zonLuNs~)3oqEZ z{Wys!sD7FC9(+ml;~p`{?bOvg%pE&e=8N*BC|U1TdS}YKeV6ld;%oACI8nts;5!{N zUqyvG@#1;Lcj;O`rW{aC9AyQ-PbN^r=JgN1PfT|xW}}`yji%?Qa8$dj*JW|8;o1m^ zJm!Aa9NXBiULCM zf3pKt2YVW<4yV(v)*XS;q_0W!#zPOAyciT`Z=iY3SKkg1qlIQ;AtpMwMugr`rF>KD z2d{ihSt*{_kDLPmZl;5vqavI1+c{qWJpgT-1Gy^m&V>u{Xp_Dr7w5JkeVfNC+7K3H z&`x*F=l;2QSC(x*6WCGlehHl#UE#!+Ts3*m1ZFrk(P@YH6X4CJ55*89(etz1NnjKX z_v&cOk2HI_1Q*8#76cL#I9E&C>lOl4AmJnAJ3|5D9Pw{UtO#mX9W<3vi;hF}LPQGL zxd{lSrrps&8DFx?Tte;F0TbQt+s4prS2W!!Dc_MsJPeYm&h!^yjilK)Po@(lp#@mN zz$~huQ^3IGy|S+%b@V7$ylFR6;vk0~dpeU|$mPs*I~{KK3q+G%7W3|i!xf_988#i+ zp|K73f9`p0+Qk#_*cNgxec){=0rN43b*O`naY^2O zvft~`G%wSeZp3;n;&F+@4j;R6gz>FJQz&z#kX}VY+qGh@T+v$laL-t{_8z1u=dt>{ zK*ik1jD4_AGeuAGVz>eNwL039Zho;&>-;zPVRSt^q}<|PD651{2Ucc-*I$Qqi=2NUXv|D8f05+jXO+%%llXDE$;Ipo}trourmwis-$G4RcV^Ka4x>=eUdpJdDTU&$crFiTx6_(O`>{ z>BvBzOweGaUqEPs5JN&^mY{*azftfD%MryZZ7{wO7M6<2brpp22Bue>0QqoogjH&qopd z;fZD;M(iu^u9DaX#fF>-@U_s5!$z1h+^*lm<7ws@dE1qHble;F3o?0<-68+qU)`gp zg%g??-J=z^i(be6B;(^to%Lsc0(yST_%|QPh&TKh-UDs^HbAia7hwnxE^RwsqyNr; z%St5gpg4TrfHhxA!7Mt-yFhl|H=4#kpKn5g7Mq*e>Cd19i&0ogix!*xLWsbkm`(@0 z`AGhPM*)B<0eB)WgeWXVQ0xmKw<&^K0@MhEVgLL>EUYilRHZ=XrC*TImLl*EQmCRE z&`G*S@6Mjzkr>AS6Kq0F{2TB`1-UJe#Nu3dWexyCk$BRdf49-qW-eK=5}%Y(d3mB68C!Gc z%)x@p#cf-3yd7kBm!U?RzQ$fUh7+gIw7M%2XY(t`?pRignrfO}s`z$DPOy21n(KZS z^gOm|Y@q!$dl&R3G;5Y%SZ@8?T#Kvum9rfdWp1i-+@%y&(-2jmITj^I4l?9kh_Jeg zsazL|5l69RoO>*{2?ix)=gLK7zdHmS{)ZKtdd=9+5|OJ} z9LL5`yibaYN1h`vO~)rp&>`ug*03BX8e|_QBQ*t*ltnoNVJW;QECv+Ph(U&6X0dG@ zfdk8WLrOtw#)iDCfM7PHF%%;KAYVYi|4<90xKdIdWR9O95vZaGr;&D4|KldAsYli#h7`%R1 zRRoC(ns;MJG#!67+yinmnFCOFaNWOVO`Lg&*Pa<_HFQWjz&C%L0SgWDVRgbx);L57 z*iR_3pZ3*H?kWbP{&s0)v)a0W`Pl3XgLW+>fV8>0pSijkm-cw;=p+G6>Rc&fYa4&} z5r=wpb^II1#Ysk3F(4FwZy!@?z|ARKRwN)fpkP(U@9@sn7HI!il*O8xRTlW#)3gKr zhB#M}Pip5FqX-xX>rw=+B+(ZN$eEz&_%s5cal*M@lScTwXgIS zTuLM$1!iwwN#RA4f=ECP_X~m5)GSd-)~yoZ=u~pp3u9{=N*9KX)A--vH~`|))3@_~ z-~=z=;6eahMKPena(JwunB@EkVRfgYpTKbbmB?w8@9e`vZ*%Dn}3-|Y0 z{AH_Q%IEopL`w&PgXm!!l#a43e?Re+G=@&P^(e=n&HgF;CW1md!CjIF*a!ri4KAjJ z9y~%ybST!H14Hz~2k4z2+~TBE4kNf{T_=MAN}@@u#FnLxegEJ|z|&nuaF!nt#I!f~ zOTLrEk$i|gBSP^6It_HCeGD6oIc+8g1Qim^VxnT2kEHoXt$`Qg2TyK7G8v>QfHl3~ zIvEguQvYQi_@!lef9>tR|V`L8`QIRVV0} zvTxUFKT5K8#RNQ(JH6aaC_gV*htioK))QZmt~pipKSbdcX1y#%{B&uw6Eakt@V=@i zt|K*IU^P1ZfL{qG8JaC3m2yx+t~lXwrRrtfNQG|iMQ{)VCO}YU;i71UqTKKn!NeAz zW&oSL&dKJtLz?UU2H}88$B+D$*})1`Y05j;h{9p*Bp5`5C8f!s6Tw^NCvxee$zP!; zma(vLcHZ@H={K=qYA5gG7V}93dQjc7>e^v7L%0(%k?OEtQ?UhE!6p*4EDoC3$RVSh zYgvDUWWC)3$K`oC#>;=ZfF?HKO71G2Pa(0_NNhZI`cY;1tW8`A!GghedIy}^^9b>3 zdi>3Yt90Bnaz0LVZkqB_x|?W=r%E1|Mabk5{8{I8Q`P2Rg&B!_t@+J?%Q z7)j{)yFJ_Zy=(pBn^w&JHp?uhqlT6HzWw*`tF=5m;C)kXtgutTipJ0I!2$FnZ>Kw; zo;$O+j&B-?`8rNV9*%~?pMBiMJoldOR{uEEy5NoI-Wk;*2Dhy=W!pElhYe^49tHF? zi)In;S`&1xlG(b14B4r#v>e-;d;vRoo$lfhHBsn6Y%I?#&)2o>8r{l9=q#L^GZWjV zlFr5t!M`wCz=!-Uq-2lz;Lj00Wj>+XMGIVR)u%eJy8bPQ=kpi`Q4N9WeAI}M)xT29 z(rzklZFf3Wlp8ku)1NQ4`{s|AomQ6S2ChwK;)I^IQq(uxfHM+*K&YF5O8=&)x!oU15x0lD+ z8XGioX$iH^(Z7tl(tqInUX5&zCE1+k+w5AE^rq3nxw@BIB}8{l&ZyU`<16)VO5Ru( zVutss@zzh&gKHfnU8H3FNV4U&P^ewK`r3JrMu9)BvaR-+t5rj@O*1fN%bgFzrULhmKB(0-AdPBof4^9e z)P0sc9Q7$%oglQHZEJ0D-7&#OwEI;|1SfL%rc1TS{KU39+4I-A>u(7cOMjMi$ykW) zpo{F!V&B?p@NhqG+W*+8`}Xf?WNbYD%T`@Zp17DtTJS0Gde7CDS3X?R-zcS);Co`c z^HOj8nEELVRQ)w!U$mYp5mXZ|b?mXMw*ig0dDe9yAS*XUQO^?>@$L-D_YI85W{gWQ zryZx|`dc1yVy_j`}ar~B|zTQ{fM{q5i+ zu;AnGJ@68#d;r%kdPbY-luK{ZeJ55QMZ=+U8;tGGs!x& zw=~twXM(T$eqLv(yH#y$w65!ta>)VjoOd-(1;?hDY8?sg{rEb`xrTaR-dd)kjAo-O zjiBCLR%){%p)T5(aLrM56}1AUIX!Erq6Ghl1ET@&vDi=BN((+sOB-0b5oyvH(Kc(V z)TwYk<~?O%s<#^lKg@sykxp}Pt(jbA)IPaf$#Lh&w-p7hJK4->wiMrb>eesYrY@`0 zgU<{fTPLYUj_aIfl(@^3%BnvY+BpXuSs2;nSLYX08+*-hjP%DgCUCI(WRzN-2T4~r zin=jW%?2H>|0ti%J1eF)gS7JHcNv&lvfq4!^wcG&TiBaZIuBe(51etAt30QkO>WEP zS=e5T)tqG?b}Eh3Re83N5=;Mx+N;+}1^=i&yU^NssyRn5Ew;Eojp+}bcK&?%jyP9m zuf@Sr!{R~X^YJ2iaQ%_q0{Qgst(D5@>lzHDncBg_$fBV#hewRY%Q{wlPv_g-fE&jPlV6{Mk zH3gw59xcB3f;sWHgxvqb*joq19W-r#IKkZ^1b3Iiq@8#FH|!-OH)-BN1jEvLPy}6k?1j#rVI|M&5%?~<2f_EE(Ngj zQ=RfmbtDrkiq-)&f?N&1Y*fWhl9#>tQfs0}wKSkwtPa~)2ye1WaLOkuh~YL2tZr_1 z+n={=N>PQ59Mq*hJ8B&$dFB6Vt2u0KFB@q3=Fmq{dxSg7w_bwStn))UxlEIy8qgB1?F7&FYOXx}oC_V)TDQ)xv}tRoc2k-@=BqMPKeb-xoVTuR z(CmvCD~oC4RQP%hOndNKfM~TZbZ5d4FZX!!Sr=|i?j^MsHE#Eb z*W7k8ZT1Gi%ky~owd$r>Src-# zYUad_@N%|S+ccK9EWGRfxvYyrm8rclSFCu2$2=7GI`J=DNr8PqKnmAXcBZvcvj~Sl z#yz2z&kXc9uk>f_chHNOxTAsn(`KXJex=nhQdl3&&1M0tACJh!JXwG6EvcX)U_~dI zNb$tx+qst2+!Wv9@-*-`2!1t%}j&s*8b_I|Hx>*nZT zgJp;$SMG`4MVN`dSL6AUzOMH@kO_lFtgu7vXaYivXh+EuH#Z{KnVKE*{UZId4++1< zsr`ctLq!gs3Z}}=y#RB!y|}YQUZDEXDm(2-1*?ce2^qEcA88o@#%?+BFBajJ z8nZvmb`)o3l5w3lWY}m;RHZ>WU*T{v`JszZnt$4*sJKRcq;de;8_0_qB~>wVB+#(FAjV~q(KEp_(vf8Nx-z0oN84B7TqVFf z6nU22_t)slB2!-hS6it?8xVyP#Lnm*oIJ3nUEk@f&ADay!QCyY&D^iqTemaso zcC6mm`v4cGNdf$RTsU=Z z&#$5X#sWv^JVh|t28VKJJW}vKcv1hw>osoINp7iH`T^fQ8!>UZ<>tQlV_(!I15Y|z zJH2J23Dxfgn%mL!Vn0;SW(MT29h!#CuHA9b>voGKO~m^#!`;a8Y_F?zM=04&6^$?P z4A|d56ee{ksG<^E&P7&ZI@F{8iVVu!*G3I zeOf){>ux5r4Sk+6pmlRdo&B?UP`ruQ8X(N*vM>EU-}N{9cAWYwb^<#`Rpr@)Bc!>6 z#BBxNUHfTs^Q)t@w~jnl{q}Q^^FU^ug?hEcz&-pyqDHMhL#2s>OVXe3^MGkXS9`Z*g@O+AgcT&e`?Yw=8`A_5%&XmU62C@YlSh>xnm zl$AF*q{KO+!v6?6uK?)Vm4QoBgi!Bsk&_rPbfQL4pvj{*{2#r*e|q6Z*mYp^x(w6| zMSqQ67ddUPUKQ^D7U2Guz=)-@Yk;PKZx7llIsY&ukS;|Z93*V9krHJ1opPap)HyEQ2lw>9E&_} zu`Qth;qBagji>oUJ>A%39E&IUi1nzZT1!U5T$1nC62_|aHYrRqHgcf?&P&thYwS5w zD8Xp~zoz6Oq^>Xn?_QdALXQRs~RQVBP>W;&M@YTf(Co zYtSb`a-P^+ToeEO8+}l31RHUglqT}0S2Wde;Q(w7cGajY8GGFb0{VGP8^L}K|>@ML0QGg zkrGxNAA)|f_bJ7t0r@){bmB=K%1Ul!6KY~v!r`_$6Snb;x@1(EKUW)b%$x`fT%DW@ z8v?@-bIe{oF0?jPM_O=l6y8upJ^@&m1q(%ZLr%&u3MM%9CQ$Q-ojfTaegLvzGP)_I zrm6w)n2018fd~AS{t`<}aXwY%Abr6IOqGhiBO|ONLC+IYW<$xbI)57bb$&?|%JQco zaiq!^!n6i5+5wpo(1IEOO3&VuNkaLQ>YbpGR9#?;V^&G~j4weTh|kaRPE?Q2E>LJ2 zCb9GeGLuXf;Y4JOnH5t3xF=k^RKUzt(1Y=Wl%qWwe4Vt3aS0GRppTIaR%Mt4paMCl zD787|Bn34bbTcS*bwlD2NEJAL#BlZqS_IZf(|lTJby$gXiDVf3QuhC-VSouBXnS~4 zLJR(N4$KXKX-F(Dssip@IJk2Ntf6Lnw4)H32rAS@Q~=~)y)Nx&Penm6EmoZrSR(wt z%D{pEwi!faFl}NTxJBAr*k&`@k`n3uT;R6v<C8iB@@B7vc~K zOmLBnhUQ^AQ;x2F8a;jdaT!bZNDu>B5^4B1MXF0|xy(pY>5wiaPkflt8vtxfQq@CP zBzOz@f7AikNo2q97$Hg$!PEg(;UjTVNVroPe63*W0AuAr7=t>9Jj68iczQTTdK9ow zrsyzASw==F4#lD^B2xO2D?7Gbf0E$+@>ZgGvood|Mom>QIVI?trV)umjH1Ygc|D}hUQd#h8S!_dPRk<|`B#euyI~e0^&z}E zEGQiUhXh|53gHPu8Qd@oD(JyK7}!)A08W!`we_6DFBmTfcICJ<5Os9iuNPm9aShrGp(#}n;gv# zQ*u?XQw?+cAT)XsBGlPv3j@oaAm@&l(qXuQO=UiP5r*RHq{52$7a&-76csaH{soAc zI;({+*z+U*^?cLohf04c@PBudLt#1YDXO4S{u>1#U_G`DQJeig`x#b180`2u@M?g# zt&IZO`d=mA!DDDR^50mIcmq!fs>C`~FqZQpMU@g|dUuW9+=mQCnBp`9C3C+u8%8v1 zoy5o5f3XhsJq(B-yQq?XItjck#)*x*piQdJCm~3H$61CINEbHb>!eFeD}dUOp-l(( z7#zl!s$g#;P+!9&K^dT)%W7_}wB>MSh6*9j)oFh-URw0bU$ zm&0cZpd0u`oz_UoiZk`!q{nI`YzR&rlqL9mX~g66XlaPytp73_by@{!6%nE~>whhP zQm~1#;eYZZtL#6M?tdofhM{l&SN=mNIe-Vf)6~{CAsLtubjvx^M;TCFP<8b?8Nm95 z?OAtfo;2&$&UwB5o@W5raCVb;(9Hc_NOe^!M}2vemrk7t8Q;;UG}at7YE7P$YFq>$ znci0(|9eiADBXpE$Nv95p5ox(;{ERhMupmc$5Z$BTH}B8wf8R z$RhPK6Jif~%F?Z$(sxkYGpx?q=--3%PtxX%KyIfou^ z4$l{{xhyZda_|nuSw7)oO2WLr+3x*CuHXI8V?qfw=x4|KRRRaq-?xiYwA!Y|TEOG| zpj5b{TkQ(}_Qw8N;zW64b?B+4$IhcFHz^Yh(rT}?C>x|MhLcbpfBbbIDQqPGF=buQ z9eK1ZLW-HAIvr8=vy6adw>)s7%`YLVG)?K=~(5~s-7 zVXG)A*|iI!593KzK+#C9EF1Ok*0#^d66Ai3QdEPtj#ig(j_z0EQvPU#M*BzA$u^pm zS@D;vU#?u4aU_aba%K7amRlY&6X!B0X?vDW~9U4YKdl~ zvqx={7^NYGlTurM{53jxXr&MFrcQCFjHq9jj4N(ToYA8TR}tR;JAW3_D9%WFXyvK;?xn3 z@KVUfx9-I(Qy0IVJ8)tXC+SAEP7(93>yYtH4En{hr< ziJ&Hr-xj}&*>H3}EwH?MDi9@lo28K6&9$R3cQx;amGG2#mC5IT{_e+sFMh8O++1q4 zdnS(cWiFnf+m&(#jsMxxS0gQnvt?arxi`rkymp!|BewAop0}#v>TGf5v|sUZu3K20 z23q5aEsQ5F;JqhyoF?=4doniA?lNd9E)|eF9$yVhTiMj*qz@jxxCt-XoTp|a&m+&j z_|+}=lq|E3XZ%ies+w6mE{1ZpT6yrRT3BRqjfpue{|p9$p2M=f`-f2Lse5PVz+~em zyl8bk-XKgkk>P;KJ#q9qb<9mrWejK#q%+Hjpexi}@ubOUARft7mnb=1pV0>A?lok5 zX~e0dGY&y;wg%}0I8uUl8y=}2!JCpI;~t*Gpb}eB>tMRXvPj4sb=-^w-wMbQkpC!Z z6a|6dD8v*5IC;M+ z3U1a74hD&^^lMq46Q~*DJH)N>Mz{r0=1ehF5Hw^Et=M~Rz9~?%R};h(VNRJI>UsU7 z#)@|;GtP*ohE|5=OqWR($I+0;KvoviPn1=*DH20`&On9)3lS2$>TI4xBj|HGT>sHZ z76)rZPk}Qqf`&G(6?-rjAd(pIZ)y>MwdNrdsEzlOLyF_6#kIk>;b7bpu?%DloNkRo z6_)cn>O#T(!%0d;GVmFK60Ju4U_y1@nJ&Aof*OzI$RR9pHm|l=mbE<u+tbl@@-KMn9EUX1r(ZmZ{Omac3;2qIq&sL^Ukmbd^F`3(e+hX zMOinw2DOCj1lu%9`9#H`mi6?HcVnZ+PhD%KYHW^;&~6YHhd z@a+)jMn!o0{Fjl8Ju7k?Pn6sB$3bs--*nzK(w(z;Q#qo_IzOhA64JE9G>7fZC5?;H zm|Grz$W>xk^X{%?7}c+L1Kg7FaZIiji#Tr7mq&rb8q*dDXJ>NlVnR}|5kvoBzZ_|2 zZ^C@((x?n?U)(a1k!MA`(TR3D`}oXETM&B`w?R6sG`MY$l(^Q6i+ocxu!YxjzRH0r z2)IGxi5$pfuZI{)Tu`Tcvo-Gpk^Q8%1}=!kQJ|!MZM#b@CA@ErY1U$yIxd(Mh0Fv} z2LGyRu_Kpvo+p*rMw8Fs)F88@`Z z5I)uD6_K@p6*=c|Wkr{oV{fALw3^f*#)rP9Iwy9`CsKo`{eK7TDUWP^R^(zu*QnC&_{k(A+YSdD+i>?ztHPKI&*HU&e3F?mxZ4{08^29 zWvq@7$tJ?p3+4in^kS=kSi`4(g#!~eRd}#S?d5-5DJ9$<0_!~)tD_RKi`6fXK0j7{KAm^VyWmbC@qP&WNw z<^LZoamk`#^f?GgYSlgTQbd_}$O_0vP~a%RB1 z{T~ZVpT<0_s~GJXJM$!b_p{4Sb+ourm#H-T&{ z-nB4tIBt!f&}JAW4?K1fkc17sMUbH&WBTLoY@U|Gcj<8W4JRVI47Bp*W=>OaYwd(~ z;9eJW;U|TJ{>untSb34p#vnKXc7M*^I9ZUSFB>fi3PB#B(J*BNSS>j))He5j)PQC7 zrC?+N5kkXZ$|7*t3^EFM6v4tjJoVsEWRYlAQ%nsNgD83t@fIbn{x}3MKhr>&0O!B{ zl7VC5f4s{Du1OtS6Fm~jlF%HwIJNTHEM6h|R2*c*f$i{(Q?JKq%kw12dRmovU<3vQ zd5MrdJ%=m^Fi_LEotfoh`0e@rsurIed8%%@kwV_?XA|9+K+X{FTKY#M-j0v30=%8a z+?GJ3WB0%DkfdhcLpNbZpaW-y{|Xiv@#Nxa|GW`AN`{IPPYzBD*y%9I99eV_lA&>M zv|y&dFrFmoNMschWm9quR7ZNS!}AF9fd9q|E-icTKPd7&bPKS@$;yTp*fGF6z9gwg zWYPcAA_SX&o(2pRQ4x%v9QtETS{+;mIQe8DuDg+@`2CBdf509qz9L*=@v`bv{pFi> z_ZV~XDyBKdUvo_*Q#gDjLu(p$U$g#ov-Dr+17pgjvk}g^>ZZI6=jw-V&zUiU^z4v_ z`(5}L9k9Y8{{p&Xy9*zy14-EM{}wQWGrazFP-7J@DDZw8UYP9lSzr~_Szl1H{ny7y&D-4WMtQEI7PewOcZe9T$H>>fuw9`Nu9dZPkQLIybI>fN=|RUdBKU%bVObOVZXZgTatNycMO zQb=pQdikE*2HidaRD2V#3w^tCQ;Zrl8#y`2=awYTEKx5!vWcS4SgBc`AhEI7*?74A zPYN>jPi&vLc)3&K;GwW#*?4$a*%J-E&;U`lu*%tA{=gyboMRGmpPyr{GX3PYU0utD zad*GpJXidNbj~aq^t?Boo}Tsi)_uHQV0|rQr{rFH-aH(ElXMgUI*sz*c59iu8Ak>Q zKw$71p_o%cU|}&pV__i?^R`BYG;&=EJMlPijO;;Nl(xBgrAZsoA2qLtF8XzFl z?TZ8xaljy{@4ey6{g&?1a_f@UD}1`{Vn*7VMrg!$!NQ8_kRT*wxtk4cQu3d|>>PO< z{@D=`5s5)a5H1i9Yta(~?*O^-RUQ1B{K!k{Lm?a~i#NZw|B*C-2q}`<=8!NC3yrwe zr=F#0*u$M$rGa;H9&>a5h>&`Yo)4DhMo9NGPht3$PoLj-0BIz5f9{!~2R7)mx2NZe z)bpX>^u;Az?-#cB1q=>3>kl^J@>6f^yYKWNA!nyp*g(`bPZbQZ5PrMpJ zyM8}#d`}&F@1}ca7=1s9lP#MGHw|JcgBujYzTpfkB`u+$Cvmo zyfM578&FkWm7AK~kv@QdH_(1ezR4}+A>(VjLF--Co6 zx?b=ceJ|-=L`i)jfhqXhKcqLmN?+jXA@Mcd@?w`Y-t*AhZow9MJKf>W;ua6^zK2m@ z6gLWKalnLObBMzD_(gj1$>4E=|I%PUnr`r2@|5&f)LE7A{GS<;&!UdY_Jp^~qj41rshfHZi~ZlhU=n1~8KD0|kw~ zO}$u$4?phTtMco=7w!z1+|+*mYa;y~^nUvnGT>aq0hYSg=HYVE7g*FY`z|ER^uANt|KlpDde1N_J$P2#lCHFf7;R) z*6!kab2T!!%F7Ukdn@)y5t8FlrODd`XgqUN<^{MBk~jn*kx`b5`Tzc2Y3FUqDt9M8 z;?d>FouQp4XQ^gNIUMr*eJh89Tl$fhotViM>hep|6#*j4R-vg?m1OOo?cs^v-jU5VGJdC*&Cf@G;B(UJott3zyfu^O6I5z4K8 z(sH+rmrO4o4P+6LcDO_oEcv|=ycK_Iv|DxTnACZu6{6DEeYg-Ryl^plbm|ZgW7EW+ zlo=MIP7kz=s~H9!Ir;Om4k$`Ex3TAx4~qpKa)y+BlpGN3A1B%um!vF$EFjjik>rj3 zF3IF?(Qa*@Hka|w`$)9c!|mX50G5Q3-QW3L+?Uh$qPg-TuIbYhBVpz7kE+POpM<#_ zQ_l#5Mq_o+r#&@oCq@j?vAvDwLHt>@(Ol4J?Wx%2U8Y+hP^J@ON7Q#`&LW#wR|34C z3_fuf#-0dd4xOi!>fkICD|3p<4D)+9+X`lXd&;xY!t0SAIG6SA?j zak}h&hzY5VG1~+__r`x7U4I{SczoeN{@c|(`ZBj~O>AgdY;|j4$ap~LwwblTsb`jQ z9l;mC$INQgkQ#|P?PGnV3Wy<*{iKOq4JDa+B1*!h*)Et^#VoQulZ*|l(cGe#WI{H6 zSkJ*((|yDx=veyO{K3F99KSW^n+&s&x$-Dwh$c@vF3f{0eFIWMU6xX!^s0xI`JH(6 z(pLM{zyL`RMgHP91ckB~CjjWOj` z3DLJk@Hw=2ijR}_S32F;(Q=vSH}-g#NW4;IKkIx)J|3~GY*b~otv5Q`pBnf9nD`9^ zB!c$%(kZr@L#uDbk;v7~NiY*G$YY*TmSvp9VRFfDYt@ir)=gS8x4sTz%O??ql3Czv*UYI!B?Oh=NA? z$w0^0dW6W1T!hd@L2S%XS9Hn(&JBI%)&oo}`qR-bC6EI;RytSy3J9 zXA=LxF?~iY)Wdo(4quwnw&|nU9R@xd_#npYbON$iee$-^oX^GQ%YUB$AAz804F$Ty*gR zO`r(5@PkrfVcCwYh@GT@+x)Um=#(Sn<+Zs|0)v$iO*vZ8QH9hwHIE+eR%JvM2s1jS zs?;X6le@V{A&Q^m?D+H}bmwz2M}p+`s&hIfVRT4C)O3fq635G+E1h$M!fVf|e92Tt(W26QK}z$M6PHr*3>M zSHYhC)1n*M4=uh!^szU?GVvZ-Qd-~gy&C5|UQ$zf<1ZcJ2e>wGg`{uM^KjKS!^)e?<^cM*!jbwxX<9cnK+)BLU7I~dBOzsW^;o|F)_N% z$j)Stpah?xP1_7%t8mqXUtp}8?!bw)DvJmY^M;s{ihk3TgT15N{%#AAKdOYd`-2K9 zr%EATZ}S=Fgw(=;OcbMf5YAqZ&7*lZaY>J48M_GZDN-`L$)RyytU+LEKTFs;9eCSQUoPN>`?>q*q`VmK(kc8djW2*}^cji=3p7U5dF^KZ- z721))?U@8R8iUd>6)t;m=>Qz4#S>4&*V)nE8?OXuYP}U{tO~h`BfD&ko|>WASPEVY zD21qoflFV}26kpWQAM4*Olx)fjH2aUK-g=-O973uZ~+>Tt3NiYtCPC)#(sbNgcAaM z{F<}3=<|Iu##rC%T(rZcn7ibqF!DMR`z0vy5luWf_)C;)y8#8{{jX_tjSt7viWRD8 zxhyWZ`+t;oI}Se0?0||$T{y>*uc@wzZ^gvQxnYnH+T>9Y%bQeRdYi+;3RdI0YioZOhtgx&m+4b)UukjTI z{EVa4(wtdBeoniTS4;0p9wyedE@TX1*Ce&i$Ra$ut-$%tL}9bBX95L0Nm z!TDV=f5@+4_PJrSLOh}}y74MBZUagPo4%sh-d#mS$^h4W}16j?5fy#XWd z@8K0=gDSxdww*BmVofYk7`x58lxa$X)sOm68IWRLE^IcN697sJ*-m`6K zY8!e)Nd{u@i}s6hCoh53f+U2(KzS_%diA-$;5|if{0}a~{PX?pFAxj#q};^?ompu4V~t=_WOeC`pxM^4rOUTze= z41#;K)(f|BMAmg^Bogksdh$SkY>wS2nxZbx=?XqlE~e1k9t0)^@ds`q-23Q1-*nP> zU+=Lmi8k|;h}U7KWq$|4JU>wO7ye>OVXkKz#?#zwpj~&DwxV6n3j$Ct<`O8w-5#Zj zqcpG!$oVz3_si6kTlW^fFD@`p;2b;eTJL^MD674F0jI5#n%}o8>!#YswloNwfzFCh zmEUmD^40D>p-2Vs^TpJutjdVtynfO3iLGhcD^#9qAoo6#O>8QLsr+ilhClKU`w;{A zoV;;NoEJM_t)f^~Xbj*}eg2srBcW5MRH*&O+~b3e;EDizWdYRUPqRXQ56gw-@eUQZq!T&e->PIQoq*KS3^B9E)<>ipByUq~+S^SP&>-{_1m& zqcXuz)uy*Jn|FZdEXo!;Z92O`-T1Rc4(s(vd%93GSLJ}q;3j4J@b_+NxsNJ>&*lLZ ze$CD-6Fn;ml10#!P*59e@`p{W&8HX(>wrcLsL9_%EW0Yy4{M&E|!#3L*7yh@Ef3|jbA(bidzY><%wIIKkc5OW;^5>3y4J9@9<1BOU6m+ z@JQF&91YlLiavD0sin|-Owk^CZ-w5ojyP$c%VOl_A2JmV3`~l!96TqRUXpA#Qgk$a z(E+)p;gfoQ1BF>q%pPZpRkyi#`#CoU#)wp?QrcXJXZ-r6FqyhnmP{2S_Mq!+2Kg5k z>7qVd+QI?dF6~)K$|u$X%o0kDydh zNt!>gDEC(zh}B=9q7}hE+Y5Rcj!*oM;@R!aLqq&2u;kKT%6Nq|`%{*oz}@4|kz$hq z_CSwcxC$Cic&u#r$;GF-m^NopNPi`Y>8rCz)N4$PSZx zM^#jPd)vqQ(z)n);`l-B9>;1JXqxVCee?OSYQ{8a9JttUNm9DrPrkvuxfgmxt6?x{eratm!ZP4= zTmjYRLXY)0;oaGOu7C5VJ>l(`MjO%gRUhBOUm zr>I;`QaFk!ej)dgDr|No#u$uFLf0`E!2-~vY*s^-jl9Q@E`$BTT#)*#yDEL!(jCkE zTSMsi`0y`KB`07x-1#69MY`ht{2;9?8@r#eH(Yh$>vjLdxzi|aZov_HBO+N$TR(5T z&=EzuK3wGp{;TJlkUw{^2*)PDWkYJ_M@~Fp>JTO=m*L_Y`p+J z)iSQh+!-PiPO_bHg{^f#2_74PV4}v~(2ofl4x<>z>_L0H*y~xS8>{=9dC7^)fMr z{VF{(5o+sj?ww25d0dZqvsXOWdA;6L9_4EUgJM zU*u-N?i?9`N$umzwljhk;6Y3O?nEHjDUXjx6Tsd>50A!~s*K z`(+8^OOdAd=5iYat<~2FrL}$&-()>P-WI?8Ve2!znc8%CkG&Q*=RMc-`A*tnT#yVP z_C8SRv|3jrb%I-HS1R9;0e=3*KtNR=1r8g+?D@xI?g!Vr$8tvvAcM%9YV&2)?0yCd zB8h7VL2dg}PM{>{2h$hI0v!F_dCH#pys1QF+ctk47t&y#tiFXr><`>=e9YL#0r<%U z2#ca7aUYv*|EN>#&C{h%Bjgxp+QKg2+l;b~2#s}A`HWQ36h`~d&_S4K2-b~+3YbkZ5ugP8iGE8XmY3?b#x&s~LVRx0X1;C$iTx zjiy(AjiJC*aAQtM?z*m#GyU)ZU+%uL{F6hs=OIb8AK<{v0MuQr$$c)HF^&*e&OyD6 z@z1(j#!BE;R8jY$mH1Z2mA@>aKXD`Tyyjy;!)KJI%FKibUGK*9IH5N3?abUGMr5n_ zyxEWq!|aOEcGj0xO``6ViCuKkFF}c)I?_rm?*@lw9I2$($q7rGNVTLW+u_!bn@0ol zHYR|8Gbq{e13>UcLG|3fNXw?_o6byg>kD*>`TYRe!P)H9o6F;9dt_KCfh3BmkmiGY zZ3WGr;f$i`;(UqbGD_830s?q~%tl*j3c2Pc3`>fyVY96r*e%0iCE|r-K_Eie360Tik|JNCNP8{_2A4_rUvw$yNAfH6$x@+D`lCY&BVcI7S z77^`-N9^lW>F@LxG`KlXd^Tvb-BybqqeLI0qgNW?A5_iOG=JC!#xi0>1+BH*Y%j5X zIx~MXMhdfY&{L4m=E(!yT`u_sF3EORVTQ?tnYn1$)XIN1vPV%A+w}8=XW4`a&%{~U z{4?9{8U=)px0&U>^oGzMi=?v7N?z5)R87!_eQP{};o9uz2^Z2>UnvOe@%H%mz8cKf z`#rskfnN8dw+R(a&!5$x?4jUwY3ALoNro&|N=jmmY@HsDlFc&J1yOu+s-hY0W4tcv z-SMdoltDzDxwal+e7J;c;&p?>YqhT(uIag{Q5mqWwJ5pFj8(m9`r0wt)-hVwgQ97= zb?oVH)b0|}9_GM+H;IP#Jm}-l&kcF^oiNLt-OjpH%M+`M@z3G$QMJh&Vr#DY*O~BO zD=Fi($ZpE%yL{y=f+r9!s-qI#-zN>W!Oz-bjzUDZhs}?V1%}^GkGu3QlAo~Z$Bp9` zd;NjPr1Rsj^GJE;iH^JOnAkdxH5WV^qQX3Ocu8Z_zKlTYsNm*6%KSYCZ&yG#K^H+3 z>-Re(zwRhyeDV@jo9|6=p617#(gDjZCY~}C;xJdHcAhV}kqnt(Lp^Ka3g3}gXwC@` zjRjXhFBUUfx>B3T@kIr9?omhVy$suY{O`amvIizQJ$EJpr738A?!?zmGF+p+2mO{p zKYV9JR9C9kcIIMf-N$Fdy%vTOmyEl8%0$}q2>(@|KBxgnXT!=RJV-eWU3ng;)fiLMd;=3j5WIaC}(um10JF?;*Q7FPR@m$ z69p)BC^fSs>7mX5wS1>?-{+LQh1F2n$Y|KWdS#x?bvqSb*dY zYR1TmN66N|59Lkpp%khe?WY~^-CeK{ZU_-`YTG{jPjlbeyv|aU3_hcSNxJIt5;*Lw zNHx<&D}O(zjsC8m+(=3>$kG6azdsME7o&2{dr}X}!*rHYd2;1j89Lev9|8)ec+;CN zJkdeI`*e~ka>1%04s=0f_t)!5h4^~Hs4*Ry=N0`;m3g1o9*U*)4hwKuf}eZRV)Xq%K}UJ@_YOi(Y8q6&#l$S(^8 zD*C;{s8Z2l3T7-s&%IIRCKG1a>4bf~O_~C9J^;;$ z9}g+PKD{~9)D_H^vJVpP$4z=4Mvn7>!)1M0Fj8wH@Bc`YG@S zQkq^qgx(MQkUEL4rRso zHR9y*j8*9P$OR}pW1;e;pTOos@!i($h(8II6!Y3|hhZ_;m=|@9)An;7sGOI{r>z1Z zi;8>17HZQz`i9W(hs$TvZ@rDI%T5sD{?4~M-fHxP8uTzVO>p79l-mEaQt~G+$}q>? zw(_!NbRkzN;u)(QcGtFy3Wql)#f9Vxp`7U`-CmMoNe?idAS(RXgIKD>jf}!`Id*-@ zy`16h!;HsIwacP}m;hTyqEZSN4mvHN4!YHVvCKBy<6ryaFGLSPe+tu=dtlF*Rf<%T zlfiF>4>!9eWw`f`4o1y>B)h(W3nLLFL1eXGu23 zcTA=I@o9x~7x+m9@#DBGwN$UFtn-8hzX6i3T7PC4DW}UjNn5}2*B|KcY8^kfs&UuH zlnX_A$0SBuXbi;7J#ie1bm$W6Njd!(Zd%QGns#OYwx%R$UEkc0`=rJQKVLwSF_0&A zuW>YPnK}3a@6x|c6Th+H!0&&l}L~6JnnXA5xU>Rf1)En)R+jLXrGCcNo$@a1J+TVLUL{|0*VIyI^4U4F@-v z&wM%;z?qWweK-eAq{rMB*`Q4*1VENZ9-5*fV2M!lpu7(3a0~IglRLS5IYaVwfA}q0 z)x6<2Mzg_lw^+4(sKr(QZGEBZew;$rP-v?4y8R=KwOY_7NJXhTVr`#+(0Ui<_n@7s zv>&t7I*D`e`VUup8Fr_l3AAjSinkom@n%(7U>r&g9W~^KdsKbOeReE-p>Tf{cXOr) zg^L-)1)Vw4R%RzE>fB;1+lxdU?qnVRn}pIpZMsiR`81?{FX2S)$E28_G%m?;Z6`VV zEk9g*H1k->1k;iB@cqtYHs)oqH&y&`k4S~bi5LpC@qQW>xEMz)h32v-Mk{R&k_=`J z0aTrd@8=^W1r#@eNK<&+pW{-PvqY;*F<^5~hWiG$x%oJ@i5W66cZX}uuaz0d>KsV9 z{UWm*RBcN%HSk6=u=_?GDIK0dOW$xeJl`|5mM)6DJ;igG&Op{?VL4sN%qRAHS}GfE zW~l63V?QCK!ah}{yw)_N*vQVLC37ez0RT3XPVua3cJw{-*!QcM9RD{^`2+;6gue3! zk|x2q0uobZgP-nR91Gc5)O0G#xV4=nnP)b!ESSyTAwT&XL8CXUW;mkJR zzJ;P^H22uC{Lw-xwz^lLgn37N(;{NEn`2+07SBG^0M6+;h~uLrvnqGw#}}OSfbBWn z5p;VW-rf(C@^iHcpAYN&N@=Q&E4~K)&77u?BCsMGyQ&l?CpcYFu5U6pjdqg4=T1V&1cq8VTuewhX$joP^kr_2g-;2kh zo}?fM^Jf2RfkQBRn+=NMABkGq+?!pK(&`b^5?_?)F#KMX3ioAl#|h=yM5UCAz_O~7 z^utJ)%X$`_kCcu3Ey1_k3*;--KTrlNGJMV{T3GmEwVitAc8d&?b^#p_tbng-S5p~3#JuNUKi}cItC#c!*bIM-iFc$5~Ieq|NF%fO*G&x;YS&0nw zOEVv>-Em-P?@ysiSIvpree|o5gcr*;Pon<=Xh4_0-BKiBWxd}IV79T)lK95;?9RkR zqK+LTI?O`70eOhd{oFe+@N1#i>-h6>2-vrf@btWCwauFQzT2&aKhYYkV47 zbtSa@kHrFBJNmR;h@*pC5N~!}mpF78sSy5sUT~3R1H?bm6@>+H>RZy-z&vla^o?3& z`tp1G;rSCsPC>xytnx)jD>r}3e+f?71Wb$)&7Pb%bMZWr)79OF2V8llJLYMO*Jw2+ z)1KkxppGD_R=USamh9TbnDkN3VQj#&7?7SNiK_8_N9`z5GkKdoiq*;0%Q`gVJ90-ue`Z*W-Vkh{ zfYOZ0lmb>!dNuM9v`PV)Qm4x;uuF@Z{;oli`I~xlu~oXM;zskQ?F&gEg(0y~VNVuG z2=~X%<^Es8k!X&&)#Po1x#&NxA{aFbV_a&I@rLWSYKXDAIZ|M8E2;xx@;9C|Fe-Fq+`3SB;D&?H*t?iQ$t1N2>$*{sm=cyU)$?_e9^P|r zoLnMGK-Hy6adhmKnga)AjgvuhU(`}i_)n^QYy*IpP zr!Ph=4d^H;-gOM=H-gik-JzIKM@@|+6er|$B=fP&Dl*Abf^;`lR2U2neeUv7kz27L zegC9VozqCTs`1mHf5Mr1ynA^s-GFG!yy=<=RxxbxX~}C%rlyzNZ^v5eT}gilAw&T} z^SE*CcYYn4YC|yn{^sW_^Bs^(#_|5=_Al2k6*vdr$jA%<$;Q8CwvUWqCq&BTUr#)f zIKD36i(W<@TgmuhX+LM%t}jX=zga=UZZT=XbQ4y)@>I&Oop4DEtuv@f7y{15!0195Cbh6 zQ+ZF5RenmWe~=|oz*DcN$Z-E|mlOHOeo|4^eT3&WVO?l2E#&1%(8xy! zJU6f19LbWHB5kOQIM6UT-;c(u_fG5RFkLVB6qYioRvWd8Djf=5(fvxU_bz092zp4= zk#zCib`{1<+@m1dK494>=Xpt7^wY$5CoV&x*Ox#De^zpLMw{@c&oKfkl@V!)5Yg%j zRXzExSm}pu*rN)=&Z8zRT@+eGMtJbA?6)vLkd5iog4XrD zo;B#ye{1eA4gSnG*Zvh9w$H$U^sI+8CG=LwgdKO_$B=Q)VjfZXeo)*sSWb^p*XC zuYRL4_RCpTqT4JMfe89KhxI`5d+Ms9cVYV{f4c2?Z6Fk0VLcA|{Z>Rw-{|$kfZ4F+ z9n&|*d(!|{%B-%Ajr=X%ZPqMsvDR-E61w5|L`mDmDxSQr_>$&uH&25*iR0!Yqq z_12;4ho)7;dgM9l-A@<|8FY$H6whB&LaPLG@3^z`jLng-3diKi?*+m79DQ`|SHbiVdsDbeJR*3wX@Gi^JoJ9c$v>@8%#xR;V zx>;Bfx%pIIUxffg^d#N~rwmL54;V;UKb6FB2 z)R-c~W)tri5VE6_?^5ET-(ePMmdgR#s=^6l5p1rS-5a@(6<~Hx(_Y)ETDFyf_v{C+ z;wUmRe#w(^WYU6GO%pk_89n>-^ltDkaBkvSZbHt1{Z{dnXfMa%Zdy2fsYv``e~(pf zl@+LUdU1ExlX?N=DB}tK{ip^pm=d2LBLX$|^xy3mvgsR{%+@2JK)G}miVZNLe~}(X zCXjLp548Tom$n4oY^96;vMLzN&zTU7E2T3jfp-`jf0eI1WUXvt0?JaH!J!Zqo=tYm zEMzKXbfGDnb2gy*{I)dYdq&I7e;ww=Qn~K!)nQu7IPD?p`$}loOB6vm@X7dhGP^xS zM@xHT0aFpnMh;@UhxTn(C9?^S**j+6B%pLtK*q~ZBdDWk)jHZ)%Kl5^9HtyzM5y#j zi014foWYn#cSQRyiyW4PEXfsz!j~5i(bzL)x3;SC{$5)46JrgQ@gX5}fB#vi#7-dG z6*%+*vYT7a>)D13i?DGpT+zcXD_S9yMZG(pC(jp0FKX_5t(la;wo$R<(~*qHZ9L-F zgq}i0RcYl?oZr)?kH{^7aEuXD3{gBr3_FTge!m%dG?<1UxjFK+oLCu0XJ(Kq@Q_X06$90qFR?%y&QcZ;;QnPUr)9o?iPAh_-^huiM>AAR;>1|1Ue0s8#3+aH2{tpC z&QQcdDEY~YtQ;gQe?6y&LM@1LdD%EpOViCRO^NiL`<&v1YfyX z%#)A|tcA#QPh~D?KR3*!ObCI=Ge6xw7 zeQ~iX&6;t+-Ei3AnnEx6b9B9XO~s4+$2GvcOtJg!GQ)4hJ#Ng$M6*#de~bz%_kOHv z$6;v9#2v*1>7f12Gf_fay9FgC*8GgRK*S?n6-zE0(3#PxB{7bUpj~8_p7o6&>-453 za&hAj0*BZ$fAfWOxvxW=qEe|$e>0w~rt$1ZDUyuWTr{pjW#b-`=@HpAGc)8UzL9}L zn*^-f-Ao|~(!K}?GAY$<&?|_RIZwqe+gXabh?)ILy%NgX=KF<$)Zkupbv61)1C_Qj zPr-k2uw&I)00|^{qqy30Haf9?lBEeb9@I%aWIh6)e}V`!H4r{F57TWFA->$yK|Wv^wZ<&1 zv62C(2)$ZCpeLV-Zw--^>rmTpvh(2L2#~uR@d;M7UW;HUZE+-Qt*>2O2Cmwi^;i?JdX-51PSbcY ze;fIFaGwlO9rMSkWh&r|WKQI#;Hr(|l)|tIeYb~x6&%4VUUiDS`OCItap$-M5VUFX zi+o)&Zkdc;-E8Ch68y|0^ZS~T8fr|fJz96=Q+M8@R7H%<8;y2jyC62)<)Vlf(SdXn z-_%JJo2HHZs$!!R!R?KE%4sF*sioxEe}+2p(ee11D#SfBzRx}~$2X%C3Io@rcSuZ^ z`?ujicbw$Yy+}*)&@5|XK2!;y5DxkDXARsqw{7t{<1RNCYSjRU^_BRb3Vx6IP$bea zZ(c$!{orqlCsbTmCXzi+=Lc|)Bi-Y3h01Yf@A-)+OHa1@%HgRwF>e_1J7441C)n!mj52I1|B> zN7y`r==gk;SS^sggs+wRwh_Hjs5-bDfc?silPA!gXP&}6db+R0RN+p1WF9sp`5>WC zBFe*EH1}4lKUBP)3E(A;CorG`e;Hq2W^d?yMGBO*5O~{}f8hD>m@~LIpHK#)^ARNM z8IQ((Q%{Xdq8t?UdFO!7(4v;mvx)S0nEnc*A879xIPXSi^`oQaK#=TLQCrQay$u#P znbL#KF*~`VE%mbtb(72P@Ii|h&-qbIAG$xC&(@*TLu-6M#0p9xkgGwQf3PriQbK{g z13mqny($kp6dw=LI8q`HG*}X+mz1Bl|KE!UN*!}7JL03cZE~tc$b@me*vBzT7SGt!UGeU zYD%Q~p)6pP99R;J2TVp2Q}pXV()Siuv3;Y#vS{B=T$Snj=61p`fliZ2zOM{PN-j{N z2e(*;21zU6yW+0~r)8 zx}8N#`5=^de=IQI!Eji@eMh3ng9a=jvk7SI{(>-Dg`d0CCc<{N$6>|n%EZc2Zxu+^t$32f31Z6J`h^=nS*OHWC1IE8 z?KVl2!8X~E8&Ya)?hJH~6_(ZQ_P4t|qfGi4GimpGTLqg65H(L`n{e_0fQE|Pi_mBi^(qMnjfB#XP&iBm1{`8aOXmUXB#k}NAtT|u0 z+5=H0%1%^0rBBSqYn-Ew{o5z|1RYfXqy&jjU9gDFE^68~Qf*EwILPPUXC{z3cD|k3 zai#b>8L7ka_|q}Blu;o>{=g2T1txM^BBpGm)M#BOF_H~D^ddVeIV6Wd!r7=^@XYx@ ze@)Q_?@Bka&+~LBF#0tWVO3zyWx+c&sb%0)>OB5iJj^+}E9QzS!-Mxwp2!%A>Irx}EBw`|UFkVLQTf@_-*IT}r9#$Q$njNYGyikpI~ zn2A1rJ;%s1ud#z{ARx;b%69Nfe}nCgLxi+h=O;GW)3Re7w6r>00ID=3X3eN8{uv!^ zfj1nO8H5*4a$kuVs;ltSz9LHUM7nC?ecgc&dL`32>q#GpFJZvN&p{tIwU?FqNkd+6 zyoyxS6*7xyt_MT1oxiRi*Ba(7eLLok5otA1@HEtcw}ur}Y|qs7<&=RWfBCN6(F{>% zb}jIrVqRtPmU~4s-#4Jg;&&5_V4-7GU#p)gw3{i4x}pDZ)VNP4+1YZP2TvF(Z1Y0& zxlo5*=B&SrW5v%LTGyorrPXq=^~vqmfHJH$YI3X!_;vks>=p%GT_$rJ@&uskY^9f9oerhv+BiOn>d_0^8;L(*0%Z`PH2KbajOI!&mQ-I$Vdn zk@y8t5-#t8;GohHk{q|5yTYr_))=gHI3T4MN;~mX;N#Gmi@FS;cqbyA+^zkW;T-_w zNARTc@_?7&^kJ(zyazQ1#ng7SPPQ%>D@0i?BRE|QIH|;rl4wk&f7>L6k-!@BiKV4G zfhtqDoxa?C6yFkU@vrC9GxF{zMc*{P9}>pLhVY+z~i0N8Io!vb(TH^N;CJe-pIr=;5&b5IlJMx$Cv_ zmcwgWqtA>5!+uHUE>i$Fr?O${U7eFVnfQ#7r&2B41|Mv=U5I&2h-(%63ofo$$l_58 zEU2&9FF(M2`XX$RdDVDd2m1wL8_t4c?Uqc$AvVT~e@z2NU>f-I)tp?^lF+nLc^(Ka zmN%TyW3$+fEy1f1BW1oiqEVBw)aH?WLNGVs@8_)Eh}^UX)A?U4{y6bR8HfeGN9L+% zwKY7rOph(s>?E^Rg!jfqq*(ht4pI2V=M8Z!f%jmIqcoMm6k#ZL%|>>g1}VEl;xxiY z+nsCVe{us!aRt^Lj9V``GECd7^g?dEgI%W8Fzxr-_uX}KpO zbe=QGivp0_r6`TBU#W9u#`3{wavzdC`K8fjRiM=`09bIsvB3>5^}aQfR(9D5+lg&B zO_9Y{$GRPAc;w`w*rGWMHf#94JY0Ryw^H48f4>35KZHdL#|P#3H0w$|-a>Xrvi-_T zV0%p*H!BRHBm}HUb)c?>?63HJ=wDnr)I`3yBWv=DuXE7d1_kT~X=bpjYjWHE@JSTK zO2ZsWtL15;m1rQ;OwzcE8nR#&h`qAyDVr3A(oC5$(7-K zz3|=)zhaqhH?qf{zg+Za=P`E33i55D>LZsZ1>T@p;K$q}8DZAw%w(VS%tummgJVM| z&_xRubIlN-Bm8vQ<7#A$i_Jiyf56M#wtviAu^H}NjSjLMy{vvg;$TAj6|-V?cvPbf zH6i}^I|*8HFl^xGj(LW}t6G(YAxEo5uI@x3I5oxQJt%`E4hvTAM0c=;#%VLSa~8QnyOjwp?N=*jP0Xx$a}Pke~N?6V;I)F zd7mfSS3J910u_jVjq{B$E3OE{LE@aO$<*_CUl4_PBxv_sjkTO%wP5op1J*nN@9B%h z8l@3S!r^kOkxNHyPcFQ8gs^#)bAZac9LLI5ma{+ z9MCL>cBShl9gQDNot7c)+-b~hgIPb^cB($kx{PQz9lcKm*N?B+8tq6ygYtTDGWn~L zBtvM$7kw?iEK+uH*EGRE19ZiL}3HR}j>OVonsCAG6#d^y{WTOoYQ0&KfxFm9~hybyBF(M~%(&Wb_ zLVrMdStDX?ZNoPjfA*4@#`G<{Jb5d38w7ScffHC$+TbeS@ANvyj3sd-0@Fnr-46{$ z(pg@}=^vux`;@VjUAZtPLwg|S3JMY6YKEM6Ut=g6TNH2J#uVnWA^)N_{uSjV#h;GI zr+@vM!=>U{0C-rDd*JkLjDP)GZ~JsWfs)xCL%4Yb#*+%re@N8OZVBp>TE1g&>a%9R z<-^P?wkoH{GN)L3zU9^sp;tLrk#NvWh*BqtSXcWL(I-G7>df9u)lP*oCil(;-6jY1 zRPf|!xn;DXVZvM`M_Qe|>KZl0O60K!~8zvGAK%%jltH!RyG%#)`#q?o){U|VY;s!g1p00V1CB^x3^1#h-w^fECL)`)SFwp%V1 zqG|22F~+6;$PC-(#|7=+`|rcDpepC%X`m1Is&ET8CR*&gW5b=xwtHJGC?4PY#mpz%?a7N1kvMi_Op_*v6POpP0W~ts^ zty{1Xf5{-seC9npLdVI}+Ld5|!edHPob}-JH#f;go6FSG#et_zG85~*a;O9li>q|c z(mnlhmH-sl0%7377d3AFv#~;zx8?CsPILN`YPdd@frz`@lt|U7zZ7t1sb8F5g1zeX z8b(gUAD^Iy`D#{2mW3>$<_Ohsiva6m&#@C8e^^OBUbV*K)YoD+;I#+=IXAS3$<e^duihg>Mf695*{wDy+7$$mFRGH!?H=3!2>x9tXFDcZ~4R4B3| z@{zpfh#erUsUD15(BuYu+|Zt>OvcR1*r;bh`1af(s~;-cf0T)~Gb}etcWpEfZ04W>0Iw>3dS@>s>Nu9O zok9kEzoZf-gMg+hMlR+wrHGVYJ3gplK8_$8l*v!Z73t2_@o z1D#3C9_WhwAy#{iLILxMo9Fc0!k}(1VBF7$z+ohBi{t)LN-eO|a^vVi{tT-Q`;IXzeXv&<;54@?( z)z=Myo`4q`?tJg=X34mPKN0b}Grp;d&9`X7r0ZK*-K%*wHch%V8s3{u!0?;g<%VmP7mr2sw24fGO+6(s@J-7-L zHJXzQ!X$H&z*;;=%>tjn<->OA?V;HPbcYGUBHftZq}};S4uI$bh|zKDOvX@<(<>5r z@C&Zol$G}u{??rZe~R}p==O)U>LW2q81r2ZK;8K8-H>@x_#hgg~X%@A5e1T{kFS8cifuaS#JzBW^)c>*X+D2gCRpw3LdqD9pXYR<^g3nF~LJ#>9yjF!Uqoq7bYdT_f z*8qMfKc-jG!IMGz6PI>C0TmK3H#7<_Ol59obZ9alF*GwcF_(}B0u%)?H8wOdlV4jW ze~tGARGe$l1q$QA-Lo-&bhQH6y`5+Vv<0X;n1MmAUjLJVR?y1T)sdft#lypc8EEIi?BHxEe?-R! z@Bq770n|V)AZK@wIp8nJ041Ou=-<+q5vc(hR$!OE9qJAit{y;V5a7*V3pNAUyS#5cOcjnX!=I@SLr~2l&C5I_@?mR`drMM z!H%vj%r0QtzcjM^mFBI@lJ@4}4t91Rdsi34zv`0!JA=&L`tHT@?}uw+@8DtY^B-gZ zwl}xBT7fSdpzPcti)f4>gU@NxwG z%gOea`AvhLkE4Slz~W5?$Pa7*di#Uu;{tRC0bHHkKz=^|bo?(uWMc!EgUwt4rXWkO zJ>sA2Z)T9i-}vqMJA*v|`mAr`#|B{i>*v3p4BsZq+`-<~>ks{3kC;VKN=r;$mf_!$ z|LYVJbMOTCFmZ7JnAo}4e*kQ39DD#CE>?iw|K?Evg8y9y>z`OzdkY5u-{0lF_31w) zyZ?IzX#c$+bb$ZOrR4B7xgY@TpFuZZ$K`Lk=3k3u3$_QTIJkiSx>^8CY^<#R$M?2fW;Sovhs)bc z{>ue=Tb}Hz`|_r;QAH< zcoXLbuyAlj{OgHwe{%s?ME^4Vjd%bo;(rh?fJNfJh=&!xBJ~Gx09a)Iptm^1|03Qu zrph104q#FHgWlrQ{~%5Pi^dM)z4?HD5FdcW?7xWXjcMj! z`_|C^SpMoOi}^nw8-NA$hyQl!-;eYU;jLtgKkzRE{=?_^e+#<*Bf(#O2RG+`#J^E2 z{{i1rTKyMtzIEKn%h3w-&jR0U;D5k3c{cxmZ;EXH0pH}>{ef(6;{K89?RH^t_;c7B z_wD`j5BFQKj(^;5+8y7P(BU85VSB6gA6nSnN^|+6^)Cc+|3~dyZ*-TpyXsHCTh2ed zeA{>yS1V`Ge?QvwRjEuYt)`rkU^4RZcF+5hZ? znVYlo+uPw^H`m+J{0IN*RRjWgg3J(C79Gq4LaiG^yYK2ni9DEgCqK+l?`o&hG5M@G z_qaWvzDuF2%MRXjz7b6s{fxf8BT0KLvP$;sbJWrNe=edkNww?I_sKXxZDzL%ad{f| z>s0ZPXwwi00x^?@$e!=Bldo2g4Ri-&pB#0*lN&FpN+H&r$Dp)l(@^Ewc=+P3>RuhU zJknF;EYnBLSc9OldFlewoCSOeI9DbTczVn;Pqg{#n>oz9saG<&1O`OE-yb> z$K5Y@e}AvmV0Y;!pd!%2CxO1k9G`jbBX*D~hqvTYUiwKcJf_rw#AvZis0R(`#MwaJ zw<1_MHnlZ|e`p*eUWv9d6aL9eb(>mj^w3s4uNNOPzlo=8_L8gcmEmJJEmqn z+c`w|tBvci3VTGxmqc6A&}&*@xZZ<^gVZJNe`SKguS0zPvoy_#uK^+n%j~8FuKEK$ zKu?t8UMQZsbHAx6X?yrw{VNUKV>prsZoVAgzXWQS7o;a=jGZnLuNQdTi zV&;jyGqRP_Ct)&19+8S@wN+CfN(qTmwwx*s?WCgqDCY6$%RV_~T<)dXc@n{N4Fbi{ z-xMuEq0acU1l3Bx!#KIA$lD>5Swd}kf7SyxR)wQ24C$IL9(AwhJBUxsRPjH*Om7=( zX)7^{m(Xg>8k{Qa?Gfkqd~WB!K!u$b6~3@FMt2#gPz+aTyRAg(jq|cl_bT67>@)p= zG4aKO&yB<}?(2=p_DFoalAH4)ox%quAm;xR3ahO_O$*%!5kkKY7l?zRNC-6-`Bqs;g5pB0qpXHZS# zne$JB&2Sbote?dn71@89jB`2HDtx-+FT(%XR6O$Vqo#Ml|Hq42KIW352}1dgC@gXd zK4T%3Uv+-)QpFWFHA1k#yYfLz2d`_?$Y1U z@)4Hpy|f5zm6Fmn4#_voeZLZCF zTCt^XpC2dU*ClRY5+ZgS<+({|SLl3f9$wbV9TxX*8n(T@zpjqP>@v?_E1uwI33>on zk~3ltBlfKOeJns#on$zB$H$@N1L#dr{b;**BwgFmx{bmEe?cxl1_kNm#IUtjb&fJC z*PId{dISrHu;+ej&Eh=0u$mpBN1}pG$60T&$e`?~V;t$~58z7G|D>izC1{l|zTuJH zLw5eisUnJ%>|tfv7a()b0haU&4Q|m#E3y0SeVd|&b4Nuo_XMpkna}Bi6*lPTt;V8# ze~8M2&=ph;e>9eb-D^p*vr4K2SzOR`w+HU)J7u+%!87M9inF>AYZl&;{O);Qxx2M72>OG}}>}{(-U(wq)yg}@c%5-Xw0f4n(|k5_X?`QG;MhXl2 ztV+MsoHB37*XVgh-4v9&CqI}5O!%KX8Gn~Ucoq+q6&B<=FM&nUK=yViYd-dKQ!q(Y zTn?=4rQpex@0~+}KDpiRy7(FXo!3hl5aV-ke-U5oo9}KyNBWsrBAl3*qhMt(MV=~! z0K4b_A)_0>$Pv((nL7L3a}w(mcIzv)Nm} z*PvrMYCMHyc?)HBwC}Y++dlxbO7qQ{fAMup&7jvF=YYJ}3pZr7st&JM4I5irp-!3~ zjWWk`$Qyh!!A!|?_kE9U4T$}24A{2K=GQ+tTMpa3E6fyJB9d_LH00p)`C+TwCkdaz z_F{##qm=Gq6mjS~QRoI z!3I5eS+La`$!aVa&YZPy59O)23lLdLQXT;#rywqxIKzv8X1#uu2~x)q$hf7Y z)>7v>;SrU1VCX2?q(Tx66Ex8f;*=Q0_!yoy`veAwKUA9)o%`HhSBx*lV>25^9xP zHfQ4=FVF&dsl`H8tzs|MsEC&sw=RY9_96%`9S-HwRw-n1rs`1V(eeT9RqT^u=;fLd zJT!6*w5_LIB*R%V7d2*VDze|>w{Dqj^Ht{|tw*J(t@FxNni1Jfy1Y%C_J+E=3vjNbcUpGqtz)=Dg5zX$os zEy{ZM*{UB~O^UBRPh%0;C!V(Oefk;IW>|ax|JapPAK~rm^(Vzw>;C^3UY~C1BEoMbY zkz@;B+4U5aQdj7zVBvH5Yb1rW4s4f>3-q(1GvV?t$-3s>ISUF;e-sDgzv(UT8M4SB zHASNmroKTTGYWHH_V0M|q@HtA67{_T$uFZnMD9?IU_Cw~ji!vcmEgsg7Phk_ZA$kw zty2PIRBjC_3`|V7b{42^oImu^O$f)cloH|2kUXsb$XRs@TC7Yu!kj`|*Zo~a|FkyqrxXyn zQ{7!;wg`OK>ajoTMfq%=`3m)U>@*ym+U47(f0h9>=sxOh&X6|lbQO_*_X5b?Su8w* zwZ85XmcP3rowt|^FVe{WwZOLeVaNrz$9u5SE8RJ(6>ZUVe}U9jGJR5C6LDQMDh z62~Nba&0KUba{jeC+(+BMGEvqzTI*_UTU>*qdE1+LVvDH^9q8H`jsBK;rOMQofNwx zY0yuWexn&rOngenOE^>6coY)0n==jbiGMq#!BlcTU&}C*t#wxG?B0|ygd#%TL5kJf zG#*IU`J3Q%e>`u)f>YXPyxJy_)@T3A1phiBd`8R5h9MJ3u5H=-_N1lYuh?U7lgFI(9bD_<1fm?8gD3NjRe@PS$qJxz2Ooo}wwNlo6%pIA% zN#TS063zl0duGa7M&eH@%3~Dn`x&2?Q~0N<{q5RT11a}w&EMK2NPU5}Cy3OZu=nxS4e>h4Mp~QT6(?HLQt{vmsuF^4uFYSYQ zNM2Rpl}RD>S5sVQGio9%J{Cu+;^%%<=HL3^N0x5vYhI$ZliAFQC2W`luB z=*x{2)F0Vkw-?>v*w!uGh4%wFxtn-`e`IbFaF57eE?bnYo(HT8x0N|b%6qU^dQHE- z?iP1^gmf*Cy70Q#Yudd&e}C$8>7lC6pcgHdSm@M_$k4GRf^@oLXV184t!OW4A&YE> zRIVmXQl|-1)2xeH$NM?+PALqpyy!JY9zB|$$Z)V&jJ$FPPf0jX4k!9_pJqvvfBD9y z-$zhomXHa2fG*}4*%o3TdDuS~X9WU}`s z^st~}C8PIcZnD1D_n{20i>wtb6iEC?kn!et>hI2Ksy=hqYIxt0Kx#{K z6#uS(c+;H&dF}bCBh7s8@C(SB!kBMLIpKvjjIw}f&Q4-CRoJe;e5t=~_3oxUzvy%QFn{k~bA zoSD`2kgmEU9^K;XdSU*OCj~CfcE&za(PLLfFdNBt6s7O3p#5yae*>SLvg;ea@7Q!V z_eQ}Ir_6nQ$-Is8xxZ{Egk)Ta-PQbgrh83PohjpI{Y=lw;GnG$blotKw)7v)#0q$A z{WK3`9Ao?@C89jypZs+gjQ7%e)hMNZifRqI8b(WOn4|PIlOrs$25!>_xoyI(d0(0S z#H$ZZuO~XHa@L(We=coQimuOVrM{dYu!M)W7$MZud2cll$sNC}+`E7I@MB(klD)xb z75^?C64hI}?)V7+R?459ta^U-vgk2Zf2CLvdStdVxgiwemflBv9yt|Jz&?;4nUgYcvxxjw>!2$R4ZaC3257K?viOZa!Fl{T7A+h+pVr-hB15@FvKeq zByYvBq8kT%fAP(-(3iofv|SJ9SPS~5zZv2E6?KRO09SSzqWfIdmCATvJ`-VZ*~jhh zG5xr1e!2gkgHZA_FNE}hKKd*cszq~|mq;Lwj($EedJN>dLvu`R8tyJR(eg*>YdMxv zBr1P#k~s3_ZNGe!oj@2x`6eXMHa-5Ee1hHql!OEae?RQ;S}E0n4gMJQSlpyG6)W|3 zxRZOU?j6sWnnmCyNZu;^)zfBfL!;Z|GuiPk-PK7UZfIfjnQDRqn-|-y6sP>m&`180 z8F%pSYaYW_S~L)nAry#|_?o2sKQI6%dw>Nj4@==)w9SaGh<(uC=3broDy`b5M)pXy zi|l)5f2on={RP*@Jkz(`ynsrS=c;CSywmpgRH^#LrNN(TI$u1(qcrsg6wUXKk5qdz zUN$V6qux!oc<_J!PC179-ta)NQy`1JjXUA`Y1>Hg^Q_mSw0Xz10&y8Pc_THc{D$L? z1jfuAd&dD>QU!l)2?k%6Z|2(4+_jBfZ0}5~f3V-_aKg$%iofataF!j9bKEe$le+vDq&0?4G z`CH#tqBO}L-E6L>xY*)&?uLjQF^UUk3`X3y%Tu>jxkJ6=&FTTNKNK|DOYwpq*u8?8 zf2`oK-c`=mF*m_P8k*L+I}7w|aYbDqS4hw}?RIMK@5^Zv;y1VOMf6B#>k(Q`k>tNEf* zKno1K?)lD;otL?h4LV_mzSFCr5>*N+oAoAemcWTWqP|*r)zgA9T#qRUE+C`Qf61p# zRgAF+H(<%cPpqMDQi}@+BX+as48oPgw~7u3)jdA_e^j4~>uh;4T? zlkV&Z(~W<=-Eez95b8sH_S?;vAROJXaREl31Rb%Z8;7gN--P3cO~`Tc7 zF**Dzd|9o609!z$ze%x>p&m2uKnw*; zWwvcSVg=^eS?*Y;LO;bS-o>*!ZjtI!;Nf24TQ32>)}=SDPJvu(LS8so;jn6igVC;P zOqP}$a*Hr$5)_OUKv5YO;Yi9M(<{KbVat6ext({am$EMUwpZ7YUb4ZFWZq9x8-JdI zD=O8>dbm4ElDc?q_m?k3BcS@EvZ%PG7MQuD?Y}=}^n9ngdmT6fZ|koX$#m?a2J$a{kS_aqe|;u{7gJ)H zdN?TR{yH*K#Jr7bv(el_@$N*g*MBeX3>`MT|Gjn?w^8_td0mYx#P=~1sPJ5xhi#K8 zRfM%^frW8Gb<-+wS(R*;rk z$I0Ton@H_%x4#(%|Ebr+2?PF|Oo0)qT z_#ccXFX);&A4KZkxt`9;YTEZ4mZtjkVyX^vBL>=j%hEO9qZUZt*M0O{&Ly`z^f=O? zyOydyYCv#OXu(0bk5gh8Yb`IGg@mcY%BA`Q?PaNLsft)r%&p*mRew0DxFu6;aNz+N zhy~*@9y1YS;V+N%A|y1B<3rLJ61e&m^^EG8140`aCVugA=N$7IT8@yb;;p}tGma?b z5Bgd$15pYS@3qW1UVoO#ImPlOHq4dsoU-POHUBv~fCm9F=J>^#=NICl2cwc+o}A@! zih#z;YDRrvRHK|M-G9kW$M0M3QhT8zpOj(a!U@&A21?w{UlM}U=j)-{1)9cl&Ux-1 z5C*>ZoS|;S?;%DkaDP_(l+msU$6b8zDZGA{i<4<;iN+f?6Uv7fhK9K`z^bmt_Nx$6 zABF&S9j?hR=U(=v=nEPd${OkY4IZWMNAg|z6R5;1!j=S~3x7Q~x*6$$o{OXSj|q*E z80MW?ct`=`d0t$2&#GN4b#CR#+bc&`7IX~h64aDK5_yZ1sy2yaaFUDi(7I;Ic7Y;V|X@_D{q&)Q1Ccnl`;4zVebI7r5 z**43Q!~= z3NAr0Kjfb^NLUCUJ6yMG=0=ONlL%0Yhj0~pU_H(g-m7DQw)G2ivqu5|m0rRnuE19(p)GE7ve=k;&(kAAbnMdha~7adCk%96Ih5q}ka~;yGHF8Ej1_ECG^-j;IpM$AL4%Nq>@u(Y4<4{Xw}I3|*54Ds62vSSIK@A}c0XrzCi2YDro)Mj+kog;D&8 zN9pj1(BnrYo+-S^0KC1bk{|kVpitUTBN5?>zR}DsY*>%N)TmE#v6y^(fjk{mJ!r2& z7k}ewpP5H!MN>V1`VDx8DG>0=f>@OIqJ>H@&dpaMlV1B$4OI9+VTz`K;_s@-7vrz9 z+qF9=t)1HYdpN7_IA5f^K~zDWD+#whppEozY}P1(HfL|0pfmg&vrHmqh7@6ffu(LW zF6wz{82k;>kEioS%q{%U-0(^An|v}BJbzCz?lXt7o(1v{Gj<6&{ijkt9Dya4V{+)g z@J2F4i!UW7i+vHSEykbyjGP}`gfQnZ+aA~I;WNVqGn__S+3F)>X7Er?5V8{WxT;xl zJ^IW=JzePi-1H+h(wx*U>%n06n=4O*OIt>*j?b{EA*y zh9Z4fOlYthzNiu5Y&Vd&V;LgL=b2{$e@_8*r;KDXzOu*GTC|A;zjUF9SV7n_Ah-dVkXjl(lGwydkN0 zu*2=b1Trb-Q7%W<+@`S-4Gde^t6sYf*EG9QF|^tn&Ik9C_*S@@gPNc7S+i33Pa-tj zM6dbW5$AM@neU%S>4WA2J9Ax1&8X9y!>t04bqFh8c$pe~90#__1X^LglIif^t^P!y z>b>V?61GZ6tOcZrWPf`IpcB}zR4WdVUjN){lE~Wck+?vIV_{85y?a0R6!c3;d($MH zc^q!9XiYg{lc19&)K{41PN79hf_0KV+7rHmcP?H@m#Cu}Kbn=30CK!eBSWo8i#k=8 zdioJRFr9#Qa!b$n&dKo-Ni6BuyaYOX&`@V#&3Id6sPs0;Ie*B?Bx(;*kN4B&-9h*A zP9ded&`*m8DR;sn5|N`SSw-c>qz-IAT8NgrP_BfzL+~gR!uy!O02Ju{nBZzY5_nzQ z$w`{#YE}G*?O#rQq}*S*<5p(`6F*Yo&b}Ipav5&E8aOm$@w&l48!1)2JFia#|hW#YQwS_*B>wr9`n(ArO0bGH!23aBD@0Hzl{TihmCL=>% zFlU#+-&x~nm5X}779}EpgNoa#+zRfwpx*3FhfwFTd{~~rD;qpO+5S@5K3q<>d6->_ zvdg}0&BHJv5@~gc9%O5V03iZ<8Y@2e=_!Zsh#QsJ&412PE=7T_4U@2KUaGMFpnNHu zH(VLBi=y==u|>rK=jrrVWF_Wfc8(zV`**j@p?3T8?Ta7=^e9xCR|LK*u+G}oL`;oO zxG8z?vh-9k`=3u4IE95OG0+Rh(Y?j?8P7%)WY~Ja4SXnPP_Ou+1_!qH&C?4Ah}Fjl z6!{|ZX@9_e_`_iYaW|NdI;aLzZo8xsi2gqVmL}853{w%J%?>?`3tEVK+O4(>IGf!mlk&%(Ul4j*^D@=vc(koF7a? zaet)bU3sLOYhTpY7h-pYt*lkPQz{uokxB;(Ifkd$AF1<(T=2h}gsEt%l?e$c!<7LD za`L1NwrAR~#OE>*szjF7rRv}FlhqtsI?AL6@7o@rk(SZRW`C4G zs>K*ZKeT^}Z?)uuZp-D49 z0d`7NvH{$2T9iy;-F&4J$x1PekAG0~qhWB~gDE|@O~4xHx-ZPT^gcBWc#h()IHAo+ zn^PaLMg0b8^PQrWc$yUs><>krYv`#(Lcw{{9f>LTqhkUjL2~`oSeQcrl4~*zCc^}q zGQ7WAhjFI!Mpr0Y2s!za_B&=Jt_{FLzs(!oFGcTs@>!cfhpRKsijX?&-+!2zDQ$Hq zst!C9l47a7``iGkkU>9sFkLZ>1=yA`F++&fStX(j;I)hMaApc~YkxS9*;_r{8x*R; zD{F5SJ^#?$m!@!cLb^mkcTeOcJ(ys%&2J&5D#L4@3wh{Ny_KzG@tN-|$F7TNC1>Rq zdk?8;(YM~I1v?da;&gnln18|$N7gPVk~U)Bvl%_>L4@hqpuV;rs5vHET4Ouj-G&z8 z5LBei2R}obL+B`t)&uW@IU74pK5;Hqqa0~3q@;>z?dbteKpCkoDm`;+R>R<&r8`)i&iQWoyj2C@9RO2tp7Oj6M; z6SOdNqd99EN}nc|mP=fHQf+8*>kYm#;IjpXerp-7pP3IfGScbN^AW&!509p9u11)& zO@qUb`>Z_HY9S095q~sgT;3`@@yNz0Aj4SF?_h5urQXH+iZr-g%-x6u?1kPcmc7$* z&CeBi3=fbP9s8xt`DyQFV!?-i#ht~vM@P5VMBYG(Lzu$`PzlHx$gUrIwq&VLSB`g7V=Ne(YVrQ_@y zU{BGUvs`g1ysX-oY;>bA1Y|eWU$X=Ad$=2+NRN7RK%Y1vt|Go(Q-B#_wOeLOXYPbq zau$_UKRT9p~~hyketEvJaS_dgQhzRYYb^uagT;QKx#7>F!>~%R>bgIT^O@S^b&X~K6i196bl;<`aUIWP{wzk<-`B>s2IhbGRXTqJ5xSX zCP~jiQk}@4(D+Imt?a>H^>Awj6gu?UaZ1Fo?b7!P+JDS-RW}#bLsPsh*wgb%L1TJ< zqugdJ_?vHVy+L|g7sZALRff*M+ z!AGp%HZ*aDZC83X`}a#MVg3P`#lXz&Akt}Xt4=gmPdnWo7eOlEQ>)0-oZU{7S2f?G z!4dve$$#~SLP(i!ZQf+T-O=go#sF6p$}OBoB|mX3xlF7Mgti#A=#H2D+1tI7Zy$8a zjI2H`kdND2)VeI|kJgCKO7MvK`Sjn~ zs#Le^#8a}qJnyOu!w-t-FaC_q4Te*qi8C-9@I1R@p0vR zw%6h#G;6^i)m`#sUi8KDX6Y7T8a=)f8XLV!%BR}?E>?=Dl2R;mUrTJbB!d5}$fNBi^{2goJyicb4?Mzw1f2=;dqn!ne>*#+eak41d7* z^vIlVzse#t{}3y)p)H^-m)rp3IfY4MQ60l`z(;m@rf1>D){u&vzrgPS{auZEbr$1# zIBvMkiF@pVSzmcNxCeCC90lFDicSIL@;#S?U4)6o)5Qm2>s=x2AoqtfzZ?}=-ptcQ z?jn6NY3coo(edW7WpKs1C7!79X}KNh>uM|L@{*MFyhUq)QB zkx#XV?PemYPh)Nyyyq{ch%)JIqDpa_XZu43bQ;oYCg}XVTqY}5|GXJ)(Vx@utdk9V z9^EdrHQ^mNufQoMx2G`P1& zxl_dXMDIX_pI4&Ennpqy55;706#7-s)TnCSxHb96*_|w#`Q>D&6@S{QYv5aeVQzStdQ>uzCINdMCWNBI+&K{-q zOFRekweCNsb$w7Y6hG=K=t9gI%?sWc%FnbnOhr1`w0K#B7Ug)-@`Gz3d{^)i%n5Qc z$rTqega#|V`(v9LxO#L1(wuK;C%^m-`c*(LRN-Yc+HAtGXMguXLsjOq;cuT8RJm{Lq&H#v zr1hvuJ~db1oiaNuO3&}n14v3*{V2f@M=e9$-)g$az+!; zvWDN~bBhQ?^j6Yk&eJacP*CyOAR!GYr7=qp@b;iq!mgCh^Sn0R8;qMJqj0CV+HEdn zSbXjp2IJkihKvzQNhQkRi-gvoe`5aW%e&HUo7OwA5Fui;Cz2n&0K|KRB) z9}szwN!$bBSF>_Ga1`L}%|5&pLx8UPWhmGbw|`P$<2c+Z@Ax%Acbt-^!#cdt=|NOU zq(f2-IO!*vIZ7@7V^6zl5UaKHz=T_d`+PZuyg1ESX2)&{gtSr7$jdR5NyQ0N-J#s| zVloQKAk=<>-@qRCU>|Iy^UHCEMCp%nL%cI5bQb?EtdnWIrk{mWjWDbngU~$Z_Qdjz zw13;FQ`to3C;6l215d!1v75=p1S4ZnIqKSAYoT8+R2?C^$+mHqXQGH;sYgv;5M$bV(fEMVV0n+|9!;; z@mzVpntE&1i;JzzzUv~HIkIi{=!@{NivLWjrzXmm#qKH)A5n>g5^3zi*vFV8 zrr7#vI`<`r^Z9Q!N@&BwGFDm88g<;C>gZflm$QYa##K$WYus2$KD=Yw^0J$&Nq@d@ zsJk2gZH$*QH!5w4+NyY&G%{`XNw-<}!uu&II%pXX(D1H&py=c3?ChePX)kQ}0>NFf zevjeDzQx2g0TqTa!@;)V?7MneKEcm181QLbwLV~82-wLw`IJF>F`(jn@iWUUE~gt# z#uuu6nWW+DgowS{{1uy7JdY|(vwsD;$)A?&{;A|BIm6%7YO@LZR}UT;x~s8&wJV0do~ zdl+{H{B3yy{MT=qmZyf|PLBwLz8v{=gS;<Y>j)wP@Y(upFXj^VgnzR?7{a{j zMCegxBt1}Cu|C7e+W>MrdzF84xh^0jrw|M$C9YdHlNq<@e|G)y3!>K-!mr@UDlA#R ztJiU$ev7_!w?#N9b4Fe_AG=s!t#ft0>5BpYZ*=Om9{Q`v`J&TRZE1N--b|u^-CIZYYiyuElefqq= zI-}8b1476Gb6P4wz;qg%I!P5!<5`Fl0XKq^JsmHU=igNH%>+hhm498kikczSi&w+} zRHq?999}m`=g?jgTs`eb@g(fdAHMq*LM*XN^ySZliIeD=e4jUY&xX58zoDrYP_CKU zN1SaKXV?~Xe`+e^(rM~#g=&(2%lIZn&G=Wa(;g#s8J)YtwGDa0=_=VoTG7_WBc$f1 z)9|GwHmGLb0~X>Q+kXVvpCts7Bgz^U%(8(|YY(IX?iCj6bljQ?-#W7fb?PZICO;?I zneBNXRIkE8`~DJvy->z0pB9mC4d7m`ukNmz{I#KpnBpbS_$Kc(5nC2600ad6N*mz#hn}}oeBY!ZrI5Azt27y{-ie*RQ zjM=lo);ycb3N(xfFjlV6e}}DC#%Sm$(k0WK+J@=s}Qtw1FIw z7bR$){K$}a_O7g6l^Rq&SVTI%FqnFHX>82oxkR5ZbENexV+tt^HvnRzDb8kUuO%mT zgmTf`uv~Z`Mt=^;r1 zW`ldL31i)9ji@jtT#|GE9n(4LW2dI&Q>E`~97W;&_V4ZKcep8J*6z*YG#&VOlJ|@V z7>?)~9MLg?LVj z#!7$jxyRqF*HA*?c0r%!GSOo@3o<2#+j}!k^8`f5ZuYZ+TqOGX7j`UxQyANwbExH9 zo6k+M`G2_914%L&hf1G6TEaq2N{-=(9Fd%nZK8+J7fywS0oul6>&J1mp@AFt-#AYj zW@8E8A%!;m$$6$oTParN=8-&f6h$$SX~uRX zQ8OdZ2qHUf7WmFobYO$CdbFDgJZuGEM@)B}sDG0_Q5LsER~(OUpB1d*Goimvpl0DfX`Bm4%sOw5KAiO~a@K*#qYRBEQh? zme91`ZI70xIAVD&=^HrJl^ojAS|^DF zXMZ>FDaZY~?{|IFwp#(_7DbRU0i&fs-0!h1#-nbSHbOx0Rz9&@KdF6RR;afOQ9C{2 zU6b;3q(n;iP$J3a3iJ~~UEz#(Y^iEh91~6&NkfT>>)YH*R1-JUw2B;wd?#wU(}egl z64ZJUAtrEivE=kiUx@EeyK&{*C}jKtrEOJ&+?z{A{KkO!i^8tNSz|jZ%Uit6kmZwN(-a0U%NxVt;SA-D_ z-Q9b?uj+kks+i~3r~7}p&*^?@prw4H!6I$}HUr6k9bH-2S=j^tlFG{R>}&uwHcnPH zHVzb8T1^{Qd(eOED74xjXBQi=qrksBB%MK~f37b!DO1-MM`f@hK*7x(z|IL^=MiA% z6<}inaImrQ|Hl#REC7%)b+@qqD6;|-z>Xjn6k16z#LL;n%G&j1pZ`1p=*<}b?EL(^ z%zuOf#2rA+Hs+>|0A*8GYmmdsj^?KJ01dFY4an8&za;2|tX*9p0zjaLhX<>vg9|Iz zf7wceff?Xo<7y312f2Wp-9Z+B-z5W7OdUXfmBxxf3(&N-artvW18nK)Vd@M5ycq0l z%t4MWFClJ@79eN9%kBUTc_n}<1myUqv(ldq%z(cR2f)tC{SAO6yGGz|nU`ahcC?TLJ2-$GU0qOq=O<<33^IQ?cQ4>ymuu$; z_Hgw14_VqcT3G%r!@>;$)N-_Oas$ar{pIswLixvL1#$&&v+?nA@^J$|P5_Xnf4MdA z_Xe6?5YQis?7z(~HTd~Jzz~4tOBog)#c^ZEC}e-jEjJHW!m z+!bI3va)eR`A7Z546^)_U+&-8#uK2=_R@ar0Jh(szn=_WI?Mv>Xz%rp`yW>flzS_w zr=!aBSIK`bN=Se`0X{688~_#$e{MDaI~x}tfcNFW@4t24nA-f6$G>9b9WB9t-(tV> zeL1NAaE!U6pq= zeHjOFN2`~XW?|=IW#jtOZsQ_j;|a2OW8-RW{b$?!Y1jHaaP~Hipf_L_o8PaPmnb&2 z|5hdyju76zQZw9>#)qm$9?Pw0R_&t3b+&lnNXJ=C{l$XnY zA#Q*V`^%VGfIR=`ZUB(ge-Z5Z5(0Rs*$-d|c1HPq(LCG$p!jdopTq+IO8i5-0HEYQ z#0LOM{X_f!p!DCw%LV|-{6p*jpzJ@y2>{CfLoX^S|Imwy>fgloqVnb+dQnmPhd2O0 z^?&F^Q{x}v0suAtCjOVKP5+^n9nAkG_LtcLg8qdss+Rx47a{9^f8k56_W!~cDTjaX zWn6%c|H2n#@ZZS&5(;@~da%X6JYLkD|Aj9n@A5BvN!#rY{IB~pcXM`rX@EZl^X2mY z!+*SLK_E|%Im(ZDu(@EUZ9{11O`SN22g}yD5d3lajV=evc(DwxsM@mi8bPWFQ0 z2bmxJ>@r5SJt`7Te>b_y-_CtD8!4K+xUN_zWOl76%o66Gub^j>eXdEV<}ur#3UpE> z$OX!r2cX`NMPv0Mi&|I>XwYK%zHaFIp6o$~S1!Y=5Z0;E-CI|ltwcb|uYI_#?%HlP zthvQ~LHIgv-61|?BgP;yO(l~`QKqnfpN|j*povuGoRaK;;TU>P>^nnf2z`fgvUR`(9pallPV}?1$dB zWY~};Jc)GY{G#nBi^be`6tJyBBFWb-+I<-|a-Wy0*U9V@-i0Z8EzI^!tv38bHZJ*Q z78l$dvje|0nP=3;NP2rXtX=umdafBN4LN{I{Hnb>e;v4gt$xFfivbG&C~_b9K_kaKB{xJ%(pY*4f}L66%ur8;_zdyh1s}m zO(?(Le^eF2wDx1cU8Ijg55`j)4%thJkLeU&y=c#iW|*lbnf-;lYMZ( zX+=VOo-K?)q2Fyx@oe@CHpV}ZAk{8jxf=`~MQl0Kp`!Rj1hd(all6Jk^lT6OR>nm& zksIX2g)W3zpK(x3~)>vdy=f}3tlq|q8yNCzL_hXZ+HnclaY zHv*X6&l{r*kl1s1Yww-!I;x+q_K+FJg2ZYY8DYGAQxj8kY*<_QzcR!PWn@W&Ys9U% ze{fF3$J^yI8^xFH*kzV zz}Zsw*_Dy7-JT*Nmfz$W@AN4QHKngU*=DK_NBIMp?ol^43HAY*=~zGQ`8K_Emy#e2 z7kODZa+KQFOFlznkAS&f4BvhH}2f=sLd7Q8JFe-nY<_P5p`o~alFy( z0I!PGW>!1~L`Y!bCxnbJvN&5&M?yEkY-@iVtx!G#?9r*Eclpdc75%%e?$mh z|CL|u6R9+PKo`;5i!!2{!@n_7x_Wng)Vv}h8ic#B*`%5OeHeVC?vXjOCV=veJPXu* zi~>AEj_lYaBmG23;C2724Z|-q|DEghf^K0*2NiGNnUibis^y(uFV6PVdxpAjJ~$2a z8Qm1GS2#l1E=?S^DXK=DYatz2f3&@5@me_@VXHnOv$4SZs@e)k2k^=k+c<5NUjbzC zmBo&aH364M6iyVPk%v_2i5N)j!UCfocHh*-LT}1wRjj936bXW6!zoDG5F|0sE=~rz zzb7BMxI}}UqN-Lj-`h4uLAell`0}6$o)Ru*B*ks}GJ5A#9QcD8f{Q?tf1x!_YFZlD z5SYWQ#_^U@fH0?(WLQW~cFKqS=Gk0Zo~RC%hu+v(nd&CeHOwL~Fy!Y4m4k2&LvtI` zwJWakXW?VAG3Shr?p}+v)<%q<9Tq%uXUHV(`iS zNW>#)S$AJ(T~05yZV=AX9j6P|FK|o!W=`24wou9l_C{IyH1He~M5^h}rI#nBYyH zBoserk4{FPJ{qO)A?!Bcwp4VSFxD9=>Q{Pahw(*C7$Wwxr7Dun@+8bgd0x5OE!)rQ z#8^9EQVm@#_a)kw>GIp=x$nq9^(-q&dfIP4m>qKI=IVcCbNgybAR;|$*AUo1sXNfC zl+fLBQ!tdMl~#9^f3*QnerJEDDMvc&IQ`_6)eq7d9DIIo2@{7~%8mkuaZ-%?8d3mi z4Kp^kNxa*GeyY^ar4YZDozr3$YYt}x^1}!YP02&=Psn(`rz!0Y)cOuogn_mHX368} zw3<(b?1j?c;a49lCkJd~q`g}-OR-C?ebB4-0((xj*w!4Se|Z))Xmq8+8hAA`IKC3? z$kpBrR%jt2zeQl87$&hZ4a?Uj@Lu|AnO+}=llIM0p7E!?C6O?UG7bUf3&T3Z)&17oaS49Dugl0;9K#_57JDfwpYhg8y zLe(c;0`7+he`*qelyA(1TE+xQZ$uNDhelqhNY-p?C|}Ll9M7RIh@@a(4^CW1Y@uzP zax#9irPk@ok=p0mjix!9@jc6>Y?X;x8TlI3_C&n5pp-0V9Drh>mZ~66)6$XJr#DRh zoshsm-Dwy1x=2BvFv;I?9t)PAIkl3fas8Ds`(4qDf4>I+_2WSWsRlE=D0{qU2omb~ zy!h6=^64+X~=N0@rxAhyko1f-5O&;CzpCFFTAzEn4 z+BzSyu2^?)^;leYGt&3!sv9`GbLLJHLrb9Ep$KX4xUx6f_y08cXqLGh1(nwUC4WFL zMP|&9^ETsr))<5(jiKR+UZQ2reK;n$CKeqGe;(k*8uC<<8tV~Hk7@~c98f7Gn^Z>v zC`JFuwjA`_=8&RK4gTtw->@*By=b!(`(a|LBE|*1??-2B$od_t^DQ=mS4Ls)l>NHJ>#0OL*7+_SwjzF6P!6jgR`5RrJEJ^P4IJ+AU zf19C;Nf1iXMT&1`M>2gq0axBi8U5agM8hKswq57P_T0f#yOOnw`;Us`H4f1~#g>hW z#b0lK>wViX(~E<|Ors7$c9@mYhpmq7jfMD+p46N~q9W)nC5u1uIf#4zxZKHxv*=b4 zq}t5zCq$S^D({A@bY7+5w-HIomSO}Zf2&O9)y4I%_zD6P5s!GVdc{|+XNOEoHoI*F zfT%F9px!pd2R=V(mC`ca@sJpNKW~xPUQ1p`6dKvzdJ{tffwip;>>b?m=yMHYvMFD- zsVP?YFq_-@Fbn9?Dj66(aoN@D$gvIcD?~B)>?$X@k9a(COP&Elky_gVd>ldcf3X4e zZ=hwsRtXiUDbTmfVM*8Dz+Y^KaKzE8| zT87y~BmE&sMlRL?iecr|axo`-mFtT7mQ9vD4I8be9p$5BhCm?y-j4A&%SS&uf2Aq0 zonKX-(MM&4`;98Czwa~H)n>KZe<1Uj%_QE4xfs2=@sxdLO$D|}u6}Z4p();hsj-#0+ zYn;ye=)xa$aS!B+vIb|<;heh+7F#|Ce|cWNR|kPj+>_QioGo5KL=Puse`{*9E$1Z1 z@e%4P=G%4PuOQ*G=XMB%A>+g1`4m|6KMa*OiN0ZDB4goQEhQKQRZq>SrqtX2oS5_y zCcP*(^5&L~2_o{Qc?upi|Fz6EYNrteiSH6Wfw3-gc0mp6iZFp!7)yS#i|%ayDl2V0 zOSdbE9})&A!dgyP_UqdiT`6CT9F}ttA-FB0WXC z6_yPCsA;zTvxj@LwzcP>i{pK_NadlTrxRyi$?6wl*D|u|ti6Ou-zlAMv=MAYUxl}& z6UAyf-m@Na7_B-tmI&n0lgWuxfp!<(eEr!tD_DE|edt?3sGoyQfA${BX|wX0__()= ziHn(ELT=2D$3B-fF+AgBmrfu|TJDBSL{BKDYLfKl$~60<=w)jISraqpD^qg5;>=bW z`sK4>BP~wcTBppW*~{aNb4hrr%4heU=(bDSCv2!#(e%8#rBQLRnbvJ?)^ZntZo?rG zK{<|^u&xGC`<|u-e{(#X%_pcgCD#{}Af%$jeHK^txbHw>;83EOP1+Rryq4CgslY@iUFNM&JPnH|2+<+zz&s zsPzT0Fv`>x|4k|68EQU3w19%Mrss1PII=vW{W@VQ$& zcE-G<3_+#46v44_+QIDu}P<|jUz)9FN?8nwv@zr+SEm9e{e!e{MuGq z`Mw%!9T*oddHD`@cpkWj-Ny|)aWJe;4+@} z2lSEa(op7-%-tTn+O^b!>{ekDUVf1@k3^DGjs^9Ee~0>Z>b{xH*X|mi-}7Fc+IR4A z?~3bFab?W=dYWmD1tpbH|CKli)mYHgZg!a^D`%gJjJB+-1zx87_BewG?dIpN24ZMf zan(HfnsApdmi4ssOZSj*sYN*|4m$XB7DyDT;<0~Sq}j#=C#A5P^tsyJ`!po_11TZF zM%%jnf05R^l^^n3w;V2bWQ8p&_u@@f;j+nNDjGvk=7Suw4;|l}aahBDZt%`Y#u-UU z-)lc544$x+;W&(=tGC|WiRVNbn2MKy{|Kf}Po z$2NK6uklPFtoZX;RQh&Xkt8dNu94|HvdGzhe})5@M*mw3&~qNb*$s8DuBTN{DZ_%YouI%l~%)}hkn9jcnswc)c<|ajQcuj=Y z5`_L}@gx7ZtGM@FQCl;Fx{{B0EhaYwA}!GEF_M!zMIddCgPNSzSJfvhf4LV~rZ8l3 zfAZzME`z$~@p95$0&Kr0GWQhgf^|7HQiqfr(SF_!wqY3aX8%v^1PUc+8{uuoK_voC za0G+H)?SJ~o1SC@h4h~DCc_^5`+UzTTUU^0v2ianfzCaTr4+Tt;{9g(okK-U_nY=r zwD#T?Y?-G1E<4FmZzNT?6b)K_o^6Xte^Z~TJ5FDxQ0=eTQUA=lND|Y?zw=cB&lkBc~5q72>VkqJXC9@Ce5zA^3|GG zG*l)Z0T)o2%(t$!hdNK#gok(#9tJ+q6&-h+&u)a=rf?TQ$m}*HJFguYWh0L;e}aiz zP#8T6PIfUMz?%cIrSAD^+*HA_dfl?tahC| z0~O6x=^fuxJzLsHgzv=6ezz()!-~`77M4tkD{`u89!!z2XG2NH0DSZU`u9@f?E&HE zWUNP~wAyJ^{;hCvzpsP^2B>RZe@2+h!k)%4pDMNBKgRd26!54by|a~A*x(Zl6Aj(j zl7jyj3zbN!A9vv;_5Mc>qJvJUF?EtzwCQuIuLlFRyvZtNb)Su?sjumvOBV~PLXIYc zigQ?8jBeXYtm_xS`b;no0U->gHx&%Lig%qNM)gEZIlpB3O2Ek5ax6Dte|)1RbV11O z?xGsl9-;}|xM(-Woo1oya+fL>S07?wrvu^La`IB278)X7^F$TCV99omfP0Xg!1Jrq zYd`Wf&zQZaonT?GfjM>1o?oG_Qp!x-(6NfHfPQiw7Y5d2_Rz7*X!=PTo_2B;tdwcc zlF})+dBqm#Nfy6HHoM(ue=Ch;LKgSHS1dxg?gEfjCuSoTN%L%y+3PsJ6GGs6x=|i6 zCN|WJ$nbxi?p{lFFRJE+V!IkPnOnT}KHw8-x-Uzexe^v!=tYwz9CqH3xBoCUHca+2 ziP9Z$IPYvpwJg)y-qAdXnEI8do_*mqBu2WgL7KdkeEtFN5~$Ose^&>4>{CATQQ)^w zGz@gLSu5PPmVU}7Eu^=NeKy--BoOv>jUiz+pH61O8DO(-J+5mSa}m<+@PMisVxNA0 zT|mJ|5idg&a+bzY-nZCziyhz%<_;MyS*mQbsDj0k4oJQqq3gp7{*EwMR(unn)Xpl( z*7TEQZeu~iL=p`uf0)+^q?uVvP$pznf(n7SHnc8J*STuysG5Y6PM>hGs(R9RCVB2) zpT^zjwh`r5jau<3%HkOxu{BZ#&4VwzOLam{zK+@m3?b-rGM&MtDK>WYJj`ptdF)iU zIK9)X>b4H%abDOzQ%a^2(9w(P@61R9Y*>9LZdS4oiHUp%f1`+)g)Vl_IcIRBp(4On zP(<+B3U04-1xZVEnPGEQ-HdkXegPcQ8koK-62*hQ(LdzRkdCaHgi={Cr0c;w2BaEY z^R>$;rw0+y011~BD!XM-+g6jwhb}+mlCmjZf~l<`Q<{6U{byUyEb966A|;IDcgWM_ zhr=A)5!Ri%f5#oZ@~qr$pG?%=6kX2;GnkxKq^YS5wd~P9AYOANa}%aC8kL1ChX&&* zuhfDg$dI^Y8BIjT)Mb>*(O3HdpXR<=ZN%+EdMy`LU>sqept`vS*)c7uirW)^DfS=W z=qsUkwK*X-N%XeR^ohMDiWuS9qtrQAMHx@Hlg4iuf0S=O=DA_Mm)HD6^Dg#j+$-zH zyQ5@ixm1Wv`}VW8xpl4~p*`K=N`Xw@Pl5K&SgOT)G}OaUNR(0nvmJ97@_N!Ac5p#ZYu@m6GLo{wxpR}{x{R6$ zUB)#Ue=4bkvmGNrF@0ya5@wzk&G7y-b9O2usV=xsJvwnI!B_Hmp$hwK8 zCKwVUFfUT7M6)5W?G;q13)x+jl<=i5?EO-^f8HwJHlxH0glD#W zF7>Ii5gR8u;8-)4v!}U@_(5{72ues8O{&SN$M00EOSDpUwkPbllzMxnY2so`bW{%E zqe1m{%yU`b$|1>AQuZ6z=l2t@t$X5vP*LWnS&ZFoYNSrkknIZ7l2Z#Fy+>4@g|Stw zfA+^D?ZftQiY3hoFkhvtUY5RlwtnT6=m7JWI?j6$YB#y??U_Rp6@LE;&!M>7ttX{3 z!q#QMM>frKj(pOVBNi4+xP`$%(eh~3qB+*M^F8@+;xf0<@hg64UBM2ENB~tqQCniB z#nrt?3a@*1{+Iqr5c35OF|j_NY`Lr=e@qR;$f`QyM+=LIMnsrE*ms~7MNN2zuVN6v zWNbK+39U<`H`!oSIF{CQ@3w1nhxtRwfRE<032S7%x64sg1p3L$2VFiGo#Kx_Xe4PJ zLf3`#nPLQrw6#9`x|*~qWv|W#&3szGwwC1i$voQZJFg%jSGkW3Tcq(3RLT_ie`+(w zyDj{x=`q;ob{j(es7f$h({7maZn3>yM4^|}UA?y*hF>nE4=284-7Z--L)48<2Y6Xg z^YBizd&aRI^NhiDecVMsyN`QK4&p~=Yaw4eI%v0%oi%Le0*Yfc-rxtyPEA(&=8ciN zzdf>3_U^vvB%Nh08WpQuIvhLrf8e35Qlc1V|Bbc6X@|a(q`R)-Dz6Lx03YJu0HA~}qF zkX%nGc9eg|`ctQp49IN_*O<5Mz5_%26U90Nn*N@@iMv2bxPisb?7dcSf0*$$rv@|k zMA!W;K9aHCGc_emgQ#&lHUn6T=}M|#FNp?%(tee#IK~*DDVAd3%06sFp>+gbxFd2; zgKuv5dP^cg*;8&8v)s|9-jxBUeX5h%CLc|i<6FH#hvWAynFV5~KSln_s)rT&G^ z*#^#%f2I=y245PbjyFoXf6{VtRClqZ5xV=;kTY3$1okycVgNn;_*OE{V~h2Idyr}3 zuoXl?^q0|Wwo>>ho#%9b4M;>+CV@a*NE%1QownzY^OL53&TFJsxRZL4q>-<05DH$Q zMr&TMs8Ogm5D9vS(;$W(%xhuX5-L!Ja`IZi)phe5wMbER9+Nx}f8;c)perz?rY()trE7xEh{0vFzK+m90Es|$zl4!7<@HtNh#==pMyr}ECgaIzw=ib9!R zBbrR#C;=w7g8PULF-Vr5LVq3ms9@MVO;NiI|I$Ei({QejeZlqUo2Nei|ykCj|>0_0;S6QVs+Uw99*~Dh=0II^|f$yECya} zP=3f~*$Ck<6XGrEWTMy>6^g~5XG@&ahT(Vto5(tJHDP_`p+Mt=;u4<)iA_? zBb}RCm_uSDm?rmX_lVk+GR*72fM3@45!+`!=y=`NY&8+8AL^_$a9B&l1=PIW`lmit zcG5|~jl28ag_ju4bbpJ#0pch6H}+eVKBOpeq-Qyc#}@qXOPxEp*g|^SlTw1dNy7Wn zRk0}YSo*X*&}Zwa3Cbf{g7x8mjG5lEzqtxgO!_U_4^P@ij--U^Hy0cdUs^QlF~W|5 zliHglrU+EfjEls_g+B?Dfys@!tKQF*dDq>3gUt}5Dav~P{C^|GYhzrtIUFA@4ST5I zHFD>rwi>#OQ4Q|l8GqIKGyRow?wHC0k>*JwDmBt*p~PAdUi=3v;}pY+vzSyOYgkz1 z&X3nQJ8IpONx9F!grzGttkXTd8{ig{UMUuVI9~U!oc{CG-L7~~Z`^~fr%2Pd5UIR6 zE=JSkcIZ6V34gcB0wWc<>)ivDI64eCZK3sZWE5+Ic2#&UGC*fLcBh*OG&f)VTeMSk zd+2)&7LBf{S4u8e0hy-nvJe?h=HptDvaUe59~GWivc=v2XJ@M~f`Z;Mg?|!(ykwWau_Bin&Shc;-}vsv z>aa^l)&~Pok$-JkdKYjAowCQmOFrr~62ix?q-#_{;5e3&SKmuMGj-_YvUkqM2u$jt zp$dM>B4}2r|5T34Arr0%Z95k8R(EDZC{jkR(zO+RrPlL3Y_i0|L^xTd;PMPHX(_c+ z{gPY}#D9Lz$8X#}(>YklgdEZ-9z&=9)WZ2e6wagFi?1O7^Vnlj`2^?2*&s1`{N0Mi z?(Hf{I-|OpX4@n0ar7e^eYHDM|9?Gg*#zsAh{m24_5SwP46_MY2 za2}1zNqU^Iao`myN-7!IB*3*dD#14PxsYsM&$)l*+7ZjASHrK#S-~Flwm^rMQ<%8m ziGPSkGXe@R3=X&v5GTc|tA2G8ey=^%86i?YZt%g_ts5L8I$(o*T zD1l8OLSWW{A-*uUmz+>6wgCEWWhui34k1~|9dd=N$1819DaOI@TEDYphEKuGjM=@f z(w=7@6_w)}G8xj>%_d(UbLB}xJLNgn2Y;#rwS7P7@U*Y|!i-sRaec zfW84f6&t{s^5VyD1aC3LFj>*%rC4t)$A={+5R%PI?u(D8cHDd_ar+)nqRe%#JoYYL zT=k70d9?}Y4Pa8I513${>BXa-3;seFR?eZmlEN(beT$Tl19RcJ4qv)LWvnqo+<)1Q zal$Ekt?th9$Pp%isV{DmTfYW1mDZfIoU;&S#~ojt2mBGhWv|Cl9Zm7yhp>~fl>>*?)<@Yf>{!C zfN)taN0!H&LnlUBY4th1-n|4G?|)nQ`yXL<%eJqRGMIw}td_;QG4R^6`&vuX%I;nP zi0)Z>4eDtLf-K#R*&>$K8gdsSWdcMFjOVm2uHIrQ+3q-ieW*plE2R!kijXC28vy;h zR0cEpwSoZZFJa@=!kBKiIOcJC8E3Ub15x{(!?m5-B@@Ua6T+w0zDyX7w++gm4 zB#F^;5(x4qC+*xXVerj^{fSih!@UX-1%8y(M4-QyUdS57A(47j_DrNr-O+rl8tjER zm3MPpF=@S`~Ze zCEpuDBhq7Cv0pm@4HT_x+M}nG7v>eS+&3Kh^I3VLRl%PyMcSO4lT{eeECeTLy4k$? zK^?7TnMLtY>(xC(S;H@T8M&rOq0WB+J{LdUD4harPj@n+!c*Iyl&Nf9eVZa z1lKc?sjWcLy#D9|blygmBf9PVmAI=Xsw-}C`WE5W1~vPuMj5JY%Lk|%m{L5)Ei2fx zA(nFQrw87r$qgcjpnr+7*L3&z^l#NU4~45+Er|mK}?w zRbAn_1RE}dp(+9W2@xbZg>W+rZk8jva4(`h^w@S_kPv5RNq_3Vyq{uZJ!u3<^Rp@} zfT8<)0P2_`6~Rue?q zAZcrj91PgJ*ME|>P=R7F@<$XjtJzqKd$vBPyeR3M{mhOjmu;W=s`Eq%*$ltLnznW5 zjShL{t_T3xW%YuMEbCPYd0b)+N2z+^XG?!;XNEu)8C#piACK~~Qr`(O$jJz*-t@t$ z@KXXkxe* z7Qi4r--jafuRUwJQ;kKwL=3o5J_dmgMfLdA-X}dWCpfr|Ai=W#s!rnt$#m3Jr4q1jPv{NSxuBHy7%;~!$_tdVVP3-Vs795EW5FhKq0ii(NbNB4i{cv z>65mAdwLg?pnQ59TP`P|_mC?DTbsz5xZZCbyqV2;i1(_ca|H>ybWJ%&`_A+FzULc0 zw>Hx7PJ=?NLcrHlU!$~ecmSr6e+M7It3e0YpMRP*uIIYu4^b6o9~z8KW-uT41NC4& zSf1pqB_6mO*K-KQ1k-zr0s22~P_p^kroW;QhG*t-om@mR>~dhGGcwRF=CvyQ-Z9Xv z%}KCZq+duv7Mv$XJFBTraR4U(m&N#VtEn3K<|mloJ4voJalW*~Tx}lghcU%VXrs0~ zD1V$O4)r_K9r|-;= zR+>CM(t7+N>LPl>&<&d{5>`u}#I$)>uXt6Vj%jvKM8B72r)?np>Df+kfx_Y4lx5#F z=Qoe8hPH3*I!FdR)x2m45y%aS=rB3_tbdo^v*zH6iv1X+UH9AzKT#~lt?|o6A!;JB zo<_Up1AC%URA9DqLf!5P0EabYg-e@4n@UKlJ_nOESwx52u}d9Mhi2e|2N=o8M5 zcgzrz#is-kHapl5^IqA)5o&xgb>`-C+0RTRdnWMxVW;x^*0Yjd+VQoB53^TLlYiSP z<<-qM*ugh34d!t9#tFZ$OuPoHW>k^e7C08^?WF9FaGVu;mh7`DbNju^ux`<^b&NKe zgfCs++;Tm=hwF}(;WmEA96ruEm0AI8ktK8oKR`GY=cg~=^n&Jh3Aah#z<}IS+_zxj zK5~I+#Wm>%OlFx1i#)t%5Q9Xe`hO_nQ3QF6_XKHL<7TyZiS?+U0};;8X`(eXjhT%` z2rQC`jH;)o?xSf6W})fU$Z|h^aig;=agNxdP=k*AnW~d_-xt4b25Deymznw1zu}#) z)9+th+tf0SVx*L)mY+GKXmvCrpCZ9Dy4uYT%R4+mAQN76Zx!Gw%|OC69is`g=(Z)0YE^&UrKrx&5W}`D z1lPL>Qv*ewLxjIo*hWhZxz$syAMz*LgDUr{ z6l#j11^PRA^yvn~Z=TpRPUJ)i;*cQ{PPo|uEW>^ye5mbql4ho@JOW6WLEL^2PMrUj z!|IuoiPy6XRI6^9;bqt>P!W5)j9nGu%6zpjY4*u9t=MJXawIp)F4GJud4&?nlPttm zFnP(be2fWq=07Lr&wt}R7@($D|B$89$DQSH9^N2z5Sd_4wJ|2xe(W$;_(AX2_Mxn! zQUvG`S0X<ot;+jhHLsf>kuQiR*Cme9`CzqcJyVOv6Q~Jm3g0wO(>p zbeOxZ1*{v}{+Hvv?(>HF19EwGH&45#inkgI{`m?OQh&$zpB?hG-Q{Ua1qW3aEJNNn z`g@$%4*D@jXZGmsuGd2^O<^&Xqb{s>Iz{$r%Wm2}<{_{TYeQ{!v{75e2O<_16t_O1 zL0G)5ZPKw*KfpoV2z+HVLvcI4_v>@^@ka%1O@<6HL%RG2D2DduQcG4xh&Zj)PM!5* z>P2^^rhmrB$}ASmgvn9v3c)(T)gMoADak0bMV)#)?M#2|Ue+gGxZnk1^mPXIc1e9y z%VP_?<^|hFq360i8h~_?Rqm4Obp(W|QLbt{Dl#~2GR}ZcHm&tN(OTatZV>}%07#z{ zoY$!&+K+sSLsT*&0~f)(h{;aS$i0+y343*|Rex@Ss6M~UDXNG~nI?P}2OCF{heJL5 z<23P_kI(G*!9`iiqEU#tlMqkXZ%Qg&6R+ z4xm(u^D^AVo<`icBuy1{Qy!f!t`rW_6yDk?1b*r%f4!-)tt!{@W)c{HX)M{LjIiA2 z>3=OwMy%4p=f6pSZRM}Dx9v~IAZ}^(3#HG|Yk*rFFU!WvFk3!vd^|2#-a?4O8aniD zLP3L24TtR!Le-1fdn2)GD1w%qUgW}9ID?2-Up85nCoC4U9In^;%@3~?X>%6w26}o;1+J8_p z(%7s7R-Qz&9r|G%y+kP`H=EH03x$yhc`|@x2&dEYDetDKy2cN7RSXoV%oI5)HVcm> z^PO{bB;?hsDS=q$-F;9s7J>9TIA*!VtBs9<-I06&0s`82^ImA3$qJJG!si|s*;9)c zH2wZojFQn?ohr4}w{@ust42>qH-D7sGYZSe1G;6yYoMQ0<*c9eBH!KrNRc(p!*I@i z#3^_xI3I@y$|AaTE!6mEx&M$;SyU(#Lj1M4uYbr`etLptIz&~Er@PN}!SnL-qxR$r z)`WEW(wVIeP6NM>FQzzy5+^>rxQGVlP%!n}F2$^;u~Rvgh=ab$s%m67ku8z01@iD7-v|x`4Alx_$A5kZg?SD{P_+=CfKMo>JNh<-z!ku4{ylHYNt@a zbsMjnn2vQ~{J}SXx7oi;&UPrQtXR*(86Lxr17fy&P3atI z*WIXmLxs=J2ZUm;D>;SLK5bGX^S~jCIwsWy4w4YEsLo->3eIe?!rcZSB6QvKYaf2Q z!p9h-$oIT79%<0no5$Z=j5>VQ2yy-z)8^OrWiNtYc|Y=MP^no{LDJSghO?eHhFf3l zjvH`M=|sGgO;O&K4X~YBZ2Lc|z}QZcLHiSzqqqST5j8bA3NK7$ZfA68G9WlLGBB5r z2LcoWIW;wtP&X-mjkE<&oLd$xjJpJPXdsOQZQLD#y9SpA8kfc)xH|-g5Zv9}U6bID z5Zr@?06`wPxifcW{=e$I>Z-5L+IF_AeSp-e8Z6=#j%HvPM+Ybih?QLcAgQDz#|vO* z=VWDP=RgAjH6c(t@ZVxIpf=dW72@b1@E-<}E?`sWvrNi=6#8tZj+>lX9R%w z`FWZDatDapgIyrzrVaolQ>ZoA{`p38Q#*i$qd5c&_4=<63_{jWsFMI2n}>%7tEs&! ztD}pR2qQCpzykuc2B?Ew!7lD#3&8J!0m`QK;6IhIq5%P#))3b}at%jIsE4Tw81O8x zgP4OIT%SGM94x>tfal8r8ghyN6(_L6A7jNo2F!rJdjkNmg8m)uZ|~oMAP#>yo0^+D z+B=y#ctISj0G1FtFhE5{krnC*Wd@i!So}6LwR3fUeD*hWH-*@lnmrr*mANTEMqCYG z`dr}O<++->K%AhitgaBd-zBpB4)fe)X$K2QM|*p)1Jo7mcYab37qIzr+r8NSOxD)H z(Zj*#Z(s>=u(14HgoT?Eo0bE_*$pfw^|#5h2<;!46&MQOX6NJOpNjzdK`g<~e`r3grtV+>)Wr?#=kuS6|3+va5WoUr z4h5Kjtso9)|3rTlgDwBy=i$3RJOTRb&)fq6*nj{2eKLHemxZH)o!39+f6bRoN>N5d zQ<>>c#sAhxNH}@|d{{sL77lK900_hj0`NY6AN>A1imEB(?=0B=ag}qhbOeCd|782z zrhjGZ{x<;_{$377z<&o*c6??n7{Krk$qm@K+0CCnp#Nv8|K;-k$MD}#{%<7z--Kk` z?CkzhGyJ9h|ENvvA$DGW8$6TN4f?zQN{-KK;PAhuI^aJ`s|2=yxY_@&Rt{?Vya?id z4pz@(W&v@rvUB~BLtJGbo?r`A2-MvA4`u$ywSKRf9mD~w>gWpj{kA-Nv9te=?s>n= zZJ*x{*Job-QJK0vFB|l)G5*Hj=XLt;Jft1W9W8$E9S1iLz|_UX)C=u-^v{SJ-~)PI zPz$ivGwuG3 zc-aALGXEe>0Gr&u5r~}wz-IX$@c9nNKk%7lY!3g19M4Lp|I~5;*j)YtKC4~-13o7R z{R{qA7tGyUT%OzX7kQss^e_C^Qv?Qkg3ZyE7aYw6Lu~3pI&W&l$vjwgriBoHPt$L7 zIasC(jZmON(w~esk~DI%R}{)+mIpyHMm9al63#d8zb;<-Y&TFfdU0K|P|56DQJEzy zJYB=iCHwp&r&+*mg~`)Nm7o+TaT$V9rHFpfiz;eiHKYN=_NA{MSW5Pw!!MQLl@IMy z?(VHE%~m8N=hr^oREM^ijcV?Ha9gxZ!L~E>dM9O8nm6sHi{A0qGKGM zwNttiU22PW`sHt<2dt96)PA}xib}IOdh@7hK_uGf_lh`D;@u+vlK6q}O$BskAVczv1i8 znKU)}cfkHaqZss=vZhG-D%>4-=l7ZfsB?-xBocw4wa5{Wa~(T>g3~TR=HWs`p+Qw+ z0O6c4b`)hz*wpQNc78~>?@-x(pDr(zs(PUS{pUfvV*;V|{>UWZ)E+DuvvaJCO0Ha# zEaed&xnD5m0BwE3@$c#e7+gepG1YQw(M)ytzL@I(29QLwnmV0hWowAPMP<1`MsU-* zk5sFxHvqOEAM>k!c|Iz}4`s_P<@}3IhQgqN34WAa_THKV)I(7C*T)xyxyQ-&9kUk{T32FQS+FuqJU+#Aw9ZD$5YTa-Y#dvod8(|( zX0%Dq`=r)+5PM%X=h2jzM-6U^j23J_UXoG8=aH>fLXNe6{YLkRU(FrP!ufHJS5m)> zYc%`4jv-CHSu!Xp+g$lRO74LT$nyO?`8v!m5v+!Pwk*1d>*mh(Z2hU^U+{`0H}H*m zQ)9itr_oFW6mvS^KwA@a1VK1>dxBJ3rb?yfU!;c=W7Kf_ywO`?p zW*f1*k+n8VxP6FF8nBq5tM#yS4Bl>f(_rkG(X5i+^!etsqjvHJ5VFYUZSo#pXe6vN z3{T^K8_~#_4};ANtAe^-%#WUX0$R7Q?_oJ`1+7mtjd^UsiI9v`Von$n6Yb;AJ;C|-MF<_O{lyaMKg$i=C`@K9T zCnE00L4%hha3&-$`fLI-kEq?~Y0R<~gs`4}=43FmQuGuXcNaQ)X2~-;Z`+E1{aLy$ zR%c`72(F|ZH0^nO<#6keaSpFLQeYE_BU)=Nv0AzDA^k`DDLnBRm$FA3apwjvl#9jl zor;1lD`~&HY#MMXgNN1TXQ(U$q5R=2PsGmZJKf{5M{V(GMChDv7`LPj8DoA-T2N7c z;N3;U)FMxYyWbUlF&BqKga{VgQ0b@1OXo`9`P(g_sw&SZE|YO_$ARfQyPBR9^(AGb z#T+7%{iXrg2PVQkAWLy_SX#%mcFrdktmv{lomUnJ4rS2n`KpawQer8aP|!CD_^hrY zmq+5&Qeg+ox~dF^Syr4frS?u(l$4r(mvQnM8D$B|*7+OvHJHN|%Oja^Y1gB=lFX58 zFY)53sLpJOyD(<>Ww@sYfn?%4z2AbqhWGgMmb8z3n`^0a!rBqb+o%YKKA|(s#g)WG zR=n-G#M(0A!t7usN}r|)p-p$7ZtD6`G@hBtjV)3H*Z(6}YO2>>5w2$B7t8n|b=PnMJD(qc%1;7u5} zj6c+tt;B725(QE8w+NpM+EXbnYg8c%wCW%V4a^BdVGB6kC4rFmq860WKm3BwOZ?#M z;(>PLMSnK=)^czAO4LZhOt=*;i7O=nUOCam+JG#_$Q3LMh|1j7DN)| zc!5v5dT%3v762G}iEHeC>la}wJK1=khG3r4%jz5cN%h*#qS?pu?#^!L_`c#Ti*4TR zBg(r{wfNiwWJdUUTy?E_ z^T0=O_q^MUDMOhmpKTGkgtNuWT~x;&Jrg+TNZvPX^`O zm=!cp)QNn34ys{)KPDDcbgExJ4vrO9`DJz~hv7FZwZFvuEbQhQ9=qTI==%30)G{{A zo--j^u^>z=6HhGHy^6Ho@MB2Q!b(8up3xgj)H3=sCW6M)TO>~@W06ce1MF^ys-T$M z6A&KVw~|(h-iE1jds0hs(hekaqT|))cV5L&bCmo3aNneVor(~z#9?SU7=H`sV}PNm zy59(R@U634X4{mBcPYO`d55j8?S7wVbajbvR*edKJ?T4G#`4Z-$@BHe!zp|Pc5Du^ zzbHNOUbIz@_Y$F9eQ9#|&DkOIhaIx%2HMu*%r^06NtvpQR6YCmp+@M(Vlk|E#Y?UW z?FpwMWR}!_hU80&@;DzpeU^Oj`k~g1xcy*WjWF($mt=frwT|mD;TGmV?IvAheW08s z8IH_Ufc!}}c;XU!KbDTA9{f`K!;CGEs2+82jqoJZ-H!H}p65IZJ-UJKCOH~YcD-9X zXo)wgz_1pw7=gnH){%6p{6n$Cs-Ebk4zg`8910)MiOf*NBy#FNFk_UFj6z_5pFHc$tQf^OmpAtM z3aI;k-If&ROE_B+=0NtdVuHS`COOjuK7_mqlBnAna`MD5C)F=%E=`jCkjjkFC0j#h ziMLdAMZx$H)erCA8MLTs>KORZw{v3+AHD#LtVrg1=fs1BP8r(15cDFFyV~X#?mM0% zH}X@5+$@RWdxm^W+mvp4*Yu)FzXx_;GwJ<*b*sDx-8Y&@iJ)^-Vh!`m#i%P1%5gt` zZmGZ>dnv8dPV2b?1%HqdqwVn75(=a0H42c0%?`&GdW6`T{%GFyi^xBDLleW;x_8~W z4)#3O_9893r*kclqB(p_vZ>AvaP`d;fJnLV}@lNpG50|<#OoYS_uOqOhZiujauwCpxH+;;vr|IBqP-p9`V_2H>&bM zzi$X3ssgVW5D;yGS7cww`~xd~>E}5t-8{nB@}0(hF^&JI3bKi0W~FFS0n? zm2rpdWWHu;QPH<v>r-GK}vDp6d&ymZrDWe!QQ5!`bs!yniIt)X$+y7>Y_poJTmv9O1WnUN7|R zPJd?mr5D9W?n)U`G$TV2qNg*v!jJ91gTaTjkBeQlz}tmK5^PMQ~N&=5AIz~rh0 z+zF44l^?fg;4unbCJO|AaviF-`9I7UcxFZ-M3>Etr)~1@&r8z<|=sivv0>&ZL^r z3rQq*dev{Z-4%AF?;zS=sqb3%Zrd8`1f5f`lPIxKcA*LPDnMR;9q;7Jv=f`Rb^WJW zR(j5zAv-5~@o^e`QC%yd`xrsy?HFs0F%GnR^^KuMNtNrb-(hSJXJS!LNRM_dr zbTgeWseJT5}yz;(pWf>EvUoLzJgC$`wE8yf(_2VQBB9x|2XfZcMzRfFT zZjQ;9-N9ko_GL!HYqAYe;42_^1%3fD>&~8kbm@e4lB4By zs5z@(Vc+cc)+lsx(_6Oa8YkfBp1)n`ho@D4)K_ja#7dLaw>;_0+xNv}k@!4AUyIa4 zY%H(TaY}xWYW96s+&dX6S;1<=oAW_&#iAO5E3t`(Vd&c}t4Jnl<^Ud?vJVBZk|dfq zHuxw9AuwK(fY02l@S67t&4r#B>f2y82RhhBK zCL6~DdR|O4c0c0t1x1`O(`Ff5ysn;H0&$~-@2m;avS9612MsWCEWaK^JZQx6VSN(6Xf&#UhX{r z=Q_@bmOL5TTm+)Js)C@Wn2KZMR1dB$tVG(A@ch&$=Ecl44MY3tRi~;R2Wt6dPDb@; z!1T4(rqzwWz#^V8hK{(AA z7tlxY$OrDyYN%Y5xDB!(h^v{WL}M%j$11C=C6m6C9DY6%F*q2Jvc|5Qp~%E$*M_^L zHgR0#+^vhhh*p1^<#F|K%1GzPWAOg0TusW5IBVdo7~fllcQlW0K0RpV5ODWpD$u}w z;+E2UyhMYfrMr>3P>0#cFx#Ae3Dx-P602EyN^W(g=n9};W&=Ol1*D91I8U@LKYl!R z$a|5DMt0cU+GBblWYIHdG;{3y5}5?Mz15mTe<&_Joj1i7m zaj9}Y5}=I-H+>Og_NfANvJ7v??^p0E9P!*AJ&fa;aIPXjQyj0Vpr~_ydi)lHG8e(Y zTU0R>SxqDi<4tfxuZGgWW{>Ijn_Ipi@*x`A3@b^+xp=hCOTTDJXOcg}Q_k4o(`Fy$ zBl8m`O9Uv{bm<26`X6$Bs#>WXy*_e~CTaIK(L8c3)o*IXn_9`8B}b`Ou34W?1KVt} zO6^bJx|Lv}bEv3=Oq-H_KmTW^qn2~B{c;OBq9nNg`U1TA;fR; zV#hq{@%x^Bbb|C)CS}O{?Af{XEzL+;;6%w@SA&;)1O`Tnu9_TgC!iz?{+Or9di$o| zGB_dfwu@BEeyG6>tY15T6$$cZ)vdAGi4Z&cu(w}ot0wzN8Be=^^H}Y!C&pBB+>8%) zN2#?+-p`4`$$#WU#}N|~c@YuhKx4Z{!{$zme<8!*ixvJQ?`oQS#NDaL0#T+28C=*K z%wH3xQVHp&OLa|iabn&}2wBc^9J5QebupSXw&@ZI(?X4SmZ z62%!;O4*fa-XI3Q?OK8)3C<38i_TVFt>oSfKu!>7 zc-P!lH2!ezn18p8K#kP;L!b9p^l*#=(ta$x($e>TlY;X5#zYapE>jEG8U#*lC1)N| z`dp3u^PDXb9-1m=eqTrXHTFAVE9Z5eEl^=I)S{%LaoQSmMuKt2Khb99r6n#qG29he6gs6sgo)D!V)MR zO}Tc}YyAru7MCyO(5l)ehS>W2X~}4nAQ@FWj@AL9jK*E(sXPMc`W#D@u1u^Ql5}mZArr`*UZcR_By|)kpj>QF+f|(^8b3YcrJ`%d)$zHA#uP z0+)5Bur@N}hq9e__st2&?u^=&_&4rA85U8AA2mcFz%Q4%FA#;@Z|x#xV~fT(--jc7 zLEuHKc|=fd7bmMuPZf=%nKoV+A&Q9p#x$VU%*BT^s*Y7re7LY1eE6=k_ZW z>OzkNsh3anx3#3@+Jj4?4*7y96ZPSwGSYBLSz9Y3d;%jJEqayy)Da?AN`+!Rn#SLLLS1nY?$QpOaCstPvkL zOQIZ?3l^wCvhgvN!fq#Zu3oWr{V@1{G1XZ_p+_XwDg3n7m|XE8{Bpf+n3F6lvnl*; zRd~YHGl0_REb6--QXp1?z^z?y4R@!;Rj=X44qCk&gI{SbWI6eJ;lL5mI z)`OHr*`Mc!yt2^%H~RrLU>C6~ySpY+_i_<6is|bI{vqZVNdkw&&>WSWoZA-*Ov-nB zsJYkpLbv*_Y>ijEDJug$cXeDz#Y$WT0xJmQ!gX72UiZqT#;wQ^bm4kMaR`rYOLUN< zHb8*AQOebYC{G9^eDS~*7FUmdb)U)gg=G6s>BJ&LVxaBJ)!zJ`#=dBL>rcp1y$$nPcPwFISvf+t9A1#G+%J_{Hm%QtSLS@7hdGNsZKJCbdxQQtpKbCmC zN-VGR_z|rQv@KWd$XQf~m=4t6BF}rKgOUs@Zr=y_Ha~V?taoPyXqAnBahyp-D71C) z$W&L9=}CB>K(B&rIheTf$%B1WBTI<=0VNa#D8GTI*G$(zKvl_$Qb_eZg*{Kg`5-Ch#uy`(?Xlt`2H0$n`y@91D#gQF=~+Ts zF5uz3r2Om)=4fqyQ!T}N`w{d}SH2C&FM5)4P8;3k!zg;Q!wM5mfux^3Vk#rf5KVqE zbfFR5<@Oa}`jr-RZwk@)6qL~%oqi*XgiSorPZ56^%wyDn(L)_;dL(3w5YvT=M45H+ zaCR!|!zT@RG0#CAdn->j9*6-Ax>-qJhs9*EGc(5*L?oYo{MNo-P;PV zm4?qf;TYMwu0=nCuz${xuV&CT*U2&ydRNtav1=d3uMF9OMA`RBlC;_V3J;%OLgf9!8bRdH9rC0Ea>|ozG z_JM^LlRdS6WLK$Q-~-HG z?=@B8DHH@4He~Ea=tI+7bz97R^^2@OHhWlwfD2ZA4D7d zb@+OJT*EBT$e){AcYxHp@+7?bxW-~}WMR1rjukL7NWI8`PQJ;h@VSBEWOCa8g6h8t z&SQS`5a}Q<^n_V#x z>vzqH#F)%0h^a}j7ZqBr^AtX%lXwhHEgiQ>Kz|b&BSNBnQ&NzRFVbt6rZZlK|5B9n z4(7V+8gxK(o&28cwf+9jZgnw34#Zjqp3RAN){485+lby(dtgBO4EX|4t(n=hv3+NM z2dd*1%JSn8I_8KrOcCDhgAkGVoC?k+X6Y(i5;h~|tk3#x?ijpq8Tb5h7bQX!)s+%2pA8xal8j5ZtMf07-cLei6SJAKg z*tJT<uV;J5~b$+^Y$H5MdXe z$ez%)VJW}6${1cfXMe)c14_rvo2_n#?=XJDhC8KP@Wi*s5C;xpxuuh9%;3lnt}Y2+ zUrrdR$9z^4+2bDBqO_EEvAaV9b^4*GNB1o(CGXg$n0)8?s)a~(HypGuO_`^) zK~-DCXQ-|*45$cjT7&Jn#gd<7^KLt*OkCnyf}cTqObK=i3+L0{5eo6fO`ys6e4SME z+3kvRp=GQhshim$#yH}vbh68zBs(9N|I-90&AneSC`6^3zhyIPyYKZFt7{(gc&Qmm zHA-zBRQ)j`f#ClDCX%jgm&ED;ClWF>G&u?{Ol59obZ9alGBPnZm%$(b6$LUgHZ(Jn zUt1@CjJE|)Tx+u~48h%k+u-gl!QI^*24`@0CqRM*Cpf_^Sg_z0Ab4Pk29$CHxiPUZv+@JP71h{S0j#VX%&e^Jh*VS> zHg5L7|A-N(w16(IHjW_ve;J6o08QP%G6_?EH?WVjDahitp{c!pt0UOo)Wg)q-qZ|i@E3DafRv~zz!Y5If68+; zcd>DDb7gk5vHx8n%kMDYE=z(e#2p*VMJuml$Y^tZ7Dg1-=bT}?fJ05=zRpug|G75|M8+1LOUHs)>sGoY0X z2=PyJuo!6hHwGWRi;WjRpA|fNYyj5ZpMRbV!Q*A&2(tJ7WB%9qvMA^&D~d@m{9W-s zIx#UvFMux-Co6!7or@K~_It>Gc)$<-{~bof)aE}l{^ctRvUCLS{mmBKrvG8=@t*;p z{m*jH0scFdk|TI(fdJY+LvFyz#cB@z!}k9?>VLWX|4sPsDF3%1|KEh9-0kiEQq%sW z|Np2>9c=8q|6>3iT6Z__0w_9y*8udtO|^l4FRdcb!p7atPAPTaw|EG;M zu2ME$KnoQcH*@R1r{!do2Z6b0{Xt+Z+J6w3i_SlY53Kvs7fx`0K2ullK-sw3{V@SM znEgRuf@UtJ=5|2v4P@!|N6zt&{O>LLM**(Z{2#;x4rcCX51ycZa&rEbI5_-a@q4sb zEdB+-DS>|?fNKN(R|f9idS`d=I{tA17h?Gbf(yNOAjv2@lSTJv*UlM!R(#>sKLRUz;`IWsr=7sF;P|hz z_}v@le;^0Aa%Xo(H=u=?{eSy%@cl>g-(og4u*bhT$p&tJjO(A`ej}jAzdFYSHg^Tz zE&osgm+xwC>T3P35MY*n5`bUYEN<2=z<L^M@Qbk`K`3?^OTw;xl)50e9E!ud50CR{S6Q*AERK&^rcNn+G~*o z(r4epZ}o7IElH}akA6?a32GDDt%!5uIQ>5h4@JNB5hD;WX^8CjJv;kp1=~S=gZwT> zmFw)zi>i{3b?4bD?e(>4RphLGYJpsywso89Z`WHzs0u zddxE~wCU@cDa@RoucUGb42b?mA2^D9_14%w-p}|fS7@-icH&dw>){bYU1N?+Q2UDQ zrO4s_@+~P^>YwxaCRjw8gTk1)#DoAjK*qn$yvLqdZKDaw&=C0gK{{Q3%*M$xrC3~KK3 zeXMt09vbdvx{XL}xf^O4-t6+%{vzBbOl0NBMlWftAHB55pGlkwQ|31Mrc)az`xc#X z!Q&I}6O3uNpl`^z*aHFEMj?llAtiiL*v^4(bMZQPWXiy2|> zJ8IpZe^q)$i4A>R*Wd}ud*7iJl+)>|oGvXI^QQQAbEXHujN({B%!ACKDGp6r!u*~x_0F|S_tGU^yQCKSv!kR z6vpjCO}>`JaK)xW*(Xqw{n`x5 zxLkcl8yo#%8Qr|1=_y*jKvbJK-49$n8XSQZqkwDYV0|kzb|eERETzd>Q7B?~`#PKS z*>=MxTjYT>uK8V+t3ln$+iSt_@^=QEx{#Es62eiM2MFUkPu!n!CcUKdR6zsfgc;HJ ze_J-yRI|yS$$m{BDzHP~*6M%Gz_{8h3Zx^8wG7t@fj8g7h=GUL6r7=XJ6IQ&a;}D@ z!XcSQ7RJP1i$R02_A)MtDu-6h3^U(bCoVSzA?H>GLEYoa0~^m>i%1cyHQqDcc{npG zGeekk8N*UKj4JiZ%9C7*D>=^dTs?}?f3NyA*ilt*Y zuyxAz*AWaO!2;D(fbu{BOMRNM>IGf6Pg! z3|5^_gA7_)b~u$eJ;?Mkjc;XJ@@r1NRzG3)@0iCBu&xr9Unt7mE9U63R*b}X_;Rn} zCC?h%^#I5)|k|lXI_%WI~bztV#JjmmiIM{64w)x{@jj8LhQXr ziyAFY6Vb!2%sQo&TE#7^LP=@=f4Kip(ae;DyQbfXcQ_3k9g4XdAb`i0ANfViPGyyB zR_zmcD>s6l&gkwKpjg4)i|4cAo|v8+In7O<(Mn&8($|erKJm);eXL|vxVJz)8ONLs z@5j&Eb%Qgj9Jfkp;nK~IKYOnpL9iN!p6y9HZIrndN(SQ1nT2Bu$~Ut=e?^M4*}X{j zc%8`sbX<89xilTK_C6xq8)i|lYO#QdZ?fE-BQK`pr)}wDR`LAIAFur zG!%DI50Wb$9XRxgG-=O7RN@s@IxLw{vCgN#&_9@D9K!YHC9Nj0SYG#J+qYAxNV4bg zlW2+3sf#JF>eaxd-7?lbdt)a05-9KIsEA~YH9M86jj{Sdl+cdiy`{MK${kdmTWi#QYwGry z6kbG`?J$oe8$#-wG`W$(n}i~L(;Q7v@z=O?pWgGbK9obnYm3j%SgAl*1Wfy~`*QMb zIiV^b^qiJhoVFN|fB&u)T|D%X`rx7sK4ac#@XD7{HB6jloTBztsSg8CW$h~2%zNz$ z&=WykchJRn#`LXUbP!mV=N z8N6E)A(vWvke9mC$TNFPOX$!F{%B8YZ&lTmyfMGr-R)oXH1lH++6&{`q12uEtikete;0i03>F&%oB2t11hdZs-QtM6 z1HC??GDR~v9FU0K%oqB(Uf(vB-PlCkE6cKxokV{!>vI;U&#{|%CNr1=+)4{ilz;?y z)nmY) z5#xJLi4AJj6|WR$d+2p5vk;-UE#ZY2w^NFoe~yu_fy|u}DCj(xPO=Y{dZ2|xnu}Gs zj4p;0SX(c$c&X@qJcdlHM1NYPZ;KY}FV6e4*@qQ|RR-nSSj?ypV@1{ zHo0Zfi(Df+S{8x5TE4|_&RfEx?r0!5S1 z!U%OLjju{A@;q_X@C<6{vq$xPdIU?kunAKH}}VQ24ZD{60xtme^kTj z;+c_MrrAn2=pX>+*hHbazz}}Xv`{k-@>`$pQxvAh#;L;UQp-+0XVMj08f74si-YLv ziR-7g-TjvrAiY&6`t;U!rMJVb*JqBIZ_Pw}6TD}U;K{_rNn?C*&Ec7~1N9n@PDyrH zaY0CHW=`Q&t}1C3luQ!_H`Qa)f0@(@f==I|F`Losv5@_~1!UIq?maLM^`Q@)IZpAZx-J$uhu9U@{N>Zm-MWkc`Bb?+>>XRmSo*O! zm(m?ynUfGZk6f$R^JRl83)D$;qZRE{sTZ~?BdVK_e$@u=Xp*#RUvn@&sTw7pA@^JA zs0Rn{Dmt46{AiD%ecSFEf9;YOgCh}f?As>Xs~Q+R#oR4C9KTqmtC|GH`&6< zHiW>z8RmIiqH~cs5O%ps3cB&t5ojs68y=-Y`DxQlR0)Pj0R@y2f0HT~#~qEPal{dZNTgb0H2{J<%?&WOhB z`-Y+mmJb4O>i9IsM&GXax7?uRYHdXh-g=dP4V9#2l^zxtYr$Srb$;`)bRgMIgXY`W zXLNzjg7MCTpt&vge_%Iz1#z|{on8RfC_yTsbHcA)=gFdA;jNf)A2xAyhEAda6}FxL z65!Aqb@ovtj&iva#f9OpPxiFuQw@~xBrU)p)om6BCnYX<9!TwHV6-1y&*I#QX|zmA z<(l5NscKI551Rhp`+9eKu1a9vY62$6ex&GrIy!*3C!2L7^Du%b_<}moNB?aMjg>tjZm;I(`DKY2~c-=@iyfGD5~g6m(Gj} zknll&AToq5oxs(Fz=;VL;R;GzUY(#NK)lOJ5JJlC32hpZkg<)-N8fbCcEJwuT1r9O zVeS@M7@w`Kf6n`9ms+)8-zz|!QcWSayO2?H0gR`!oY<1`$uwyiXcErflr@JCQJ0}k z5qyPdOFV%FdVnB!v4XRuLWm4DwQ9>a`Yuf?MajIq+cx>nrx@OTHYY3aI)h0GG5e|b zGW&j=#nPW+H6`=#NAbWWxpZj?M&&MzrK0Nu+%&0; zob{oy9h6CS(@Ey0)7=AdC9k{p5~a&U%JStWcSK8nbLSogt}gEYvgc*Pnd}F`yUrt2 zO~y*d4!2yo9UFd`i`)+7@ZLq*Dr2B>@IJAWe^$qf^EfPyP=)*6S}&6{f7JSUuTSXb z@Jty!1FAU9V~@E`2ojWWpG_mYwI5<1ys3heG&Y(WIo{z_=^U;Jw>i9(OT5Ejn&Jq% zCAQi8B_pyFA8h$E^x3XijrlP1`q_gfXuyFPlV((Xvs0~2`3*}_j*?R ze`{r*!+wKNW3{w9m$7sv++D*wTk#{B8%yjo(#zV1k&QFNHO}|7Y?YuW<3|Z0eLy=R(dy_e%$#2r~Hv(Mcu!Wujhpo_CvM$c2q9q)bq9Log7&h(| zLEXz+Js0g0r$>{Rx-RJZ#1hvx{Ba)^e=JDg)QX^%0ZF267|4fAW$}z}={8zS%L<2D ztT%r0=}&)ge}z}y#p9Dt#1F&h)sQbW)uG(-v>HlkR1VeOc;gjA=sXZw;0-NHoATOb zi_WF+rA)TdyushknxvC5!AD{POY>)Uyl1QK2Zr|N3O)X@)7{>mg?(9U-!B^Me*@=% zorD9BChm74ZwUOLD~?F9XP2V(>NEO{7PtvdSU!7CJeruEV& zelFy`Rk#(Wyaj1g+yf8{+Ubz)MOpdKuEggTWs5dxUhmr!+^q~xD0e;;x! z6K?VN(b{ih@bsQO5qtJob$Go*$;>jGVX>`aCG4{!Il_neFPaE#J`!orh(gl04bRN8yo69Q3-#pN_y&1H+dF2$=VK?lK=PZ%Q7|qZ8b&+Aze>Lu`0CcR& zO4B^P3_!juN=zK*5jvvlFT6~b0mXlQ(^66?%0s^ID-oe4$inXStk^ejb?>@e9Q0_% zC#!RnXjxF2H37Bp`t$jb0c*ZkNAl=P3i=@P!8%#zcn>+f+@d-UYUhG3Ni{st9?Yrj zA__6}L!BBaSO!E$jsSOoD&hUr4!V)HrY~aixPVMl|S?vunt^1){>B$fALFgY0*LRpZFr~ z5$pYcxvv^;=#121CYVUVL7x84j7U*>O3jkkZh>de@$1g9s>s%&rxKaVenOW%b9IYA_)8ox_Gd<t*>tIgFBh3T6}BBDH$ zG*8?nd8MD~c;uU%vWIPe-i42=UwDXrL9fD0y*gHO5r2?n*^EQmmu^vx)416)btLxQ zclZgj`d=-Y=8~S|vJ95K;*eAlUEr7>E#L(`-J|)zfBtamuw|R*&lKHtd5OlZ>YS*0 z!5@+H=w_5B^@BvXs1N`7NoyrOJ_|AJDN{A9UVmvtP_s*ip9nf+VNuwe;f89h7U5W_ zbxBN}i!b6XwCgM6hqBM)J+r-8U#<2u_S=@XjLb^4``}?0Y3t}I0kNL26?c1d*wL{` zo8d-pf0f8wDtmN&iUf-ysBv9V1w<`m#R*MVqJsSqnQQ@&+&%_B>@Uzpo};tmISJ@T zgXNjyS9FaU_pBtA^;pxJyCOOvK(jH=}lN!R5 zR806~m@z2!ej|qx6T%zL{>V{HV8H;z!ho+uv6JUS7%_y+ zgUtb{BRro=(x|2{$mfSYx4PlwI~C*2W1Qgk4H|$pRShTAA|*BbFVVNkj)l+K%G_nl z7X9m$1R`X+zf$)^jR>l6cjb|)mc*L`sN9xDX2V-qV0P3Qnzg%7^qaBr04RsZc4IPP ze-vg@tcaum()UP`0w2pr2GgOHQYWR_usg4|EFACdt@|YAydlM#WH?ko8{3E@L0gO? zsMh*8;?yVzjeR)~HaUJTFPFgmdbick_Y|B=F%(r%>m=1t8)dU%AB<13!j46f;67|Z3n5LqW*HTcvRvq|C&@k%mm(V>9NKlE1hoVK>>-uM@egeeno7uV}+?yg(-Q%=+l_1a%I-+P)f77oG zB?i0)6g}UvW+wL3p|K^vy(P7ssT(Bfri}vz9VxRgELTq5D&j%_6RCZw+AbI9Ag+0h zduzven<{D@*9anIb~Z#`1zwj7c@X7Z$k)wxwg{ObQM@~s+rc^`%9z1FP{~v5S@4d{ zuC7&}R8}x~ZcW?bbho+xwfd8he`75xdEu;Z@ydB}N-@Ju#PE{zPr|YFwpR*P$5Es{ zE=bF-vWXrMmE`ysYkrZ0gQ%8?Y)R2s(u+O1B~nNDt+8aZg={19;c*MzAZ?q{H$0 zeLVN=G}g$rvG>f3D_zCSGplZd2Guh^oKkA$<&g|u!sJN;GNp?0eB4p`p`+4AIKJZ4 zh*|QMLV$FsE=LdVs~w7bbi2hqM-7Un z5WHhPT&1VRz7g5uYck#|r?2j3+IhXJhzMOKigr6ph?h>-g6*Fe+7eYpy5KWsN(xtG9fDtpN>rBKXrL;cy|>mE@)7+)t+sMDM*-m zwoMMB!|R-N;KZ4?U{LYY<{h|)B`m7k!jr3;n}VxmBT9wikS9BLXS2iM>?ua6rmVtC zS+GeEp6xj0GQ#HtV4eiD@Ami!No}De zTL*sV9Z#IN$5W@I6gK|qcCGh}f4nNi6Ghp#_%*+U>_I!aFGKidTZWE>J8>yxh6Wu6 z8?uoE19J*LFF2n~&8Z4P=guZvrQ;S&@0N#uo!aIo@icBKn4oN$gjlT1^-z+cFrBV2 zX^&T6iJq~yf3@I{aX!7PY~AI_a^#sd&isb3w-MJDc>Ib`&zq)>zLb$?utHWXKr7AGq8fu}X0^@ts2Z<+%AkB)y zRoRa;Ymd@g3;xun+{p3HZJJbU5$p37SkP`#G`ByqGJ!RbYNi&8EVI%)9XSH3_Y|;=n5f`+NwPS*})U&c2own9qG(ReqrR>KKa@t93D`m|TL||lwHHxvWmsEa;g(q$%x5vUhCBz|m z>2HQVX{;L4@J@DF@6wVSg^}pJOx0*h*FS@ByBo6rj@IGo4xtW9SNN#>6 ze=s1OYLk$Ya&msQ8KKO$AekDGGo=MyQ`V58GfHTJZn}u`@uh$y_i?T(dPeNEVc6)n z@PSnqoA5ImcWG0IH4SKm6}vN6FjW#dG){Kjqoa4lkw~XfVl$yk#{}XQHf|6TeJC(;?Y?jgk6d`lv+`*&~AK09`I$NK)*y8iQzcBo@xQfBVo% z1XZkoX~g#E+1W~DY}jEFd6TIVsY0ej;mhNRJkG<&?3Z0v&nGe{A*SjCzrI3=Cox>QHzo>AH`1%l{fvjtguzay6zjDVfto&I^;t%c>Wd^r0`3BgyaWyy8H{yxXtu`eS6u{X62)27HLuDx>7)AaZwSH<`+nvaaI zLtGGo@FSBrQI)B$=Ul{Be-Kfb9IG`izWaVIPGa%p){NsY^1)mF_hYAI#1Pw7T5|L! zjPK8z4$u}cY5U5#*zV}bEaGHFM1@vVqG=K4r>9n@B9F9N$Hh zSIwTZ#N@hyVwdd^O&xAK#yQg%QH_%KKm5wI=abOJfz(l?qB$?K&JHi=DHa~;SOf8pt&U%q!HBz#k_!zWiiT)A>@_jxauk&`DGP)CxdkdS2q$#-N= zk=53c`B|65dC2~89fglQ%<@9aGQeDM@vSDt*znctt8i5p!aXka%BAEk9=&2@UP~1W zDDNwD--F+{>-)CCW$ns+6%pc45*j{IfqpDxy_hR<4s-amfA3?bNfe?X3S`=7d>=nI z4K$=IHdk95ZO0U%LdHPh!5gA|$l(y!CB7XhQqJH&N~P1`Endw`6DOJzItU8i>>1@! zkZ)5HzC3KWO$vTt;X}+rA*`>P$Tdj!5aT~$rHl2 z3aJhB5cATDe|T^Cen93M1thcN{28e50S@ZBd(m0ftrNCnJWG(1>|vPx%(fE-LDwXm zTaKqk*z_xrjrZ~j1Y0{QuFBd;<0^dAMmw!qZa~lCK*7g5YKOR zcwf70?#Ah_ZpYWo2|pK+OGnjq3#3147u#Uc9fufd`Dq;dFtRlCq^_;+>`%yLgsk^0 zz_#p3yRjP<#Gg5>4RkfuoK(W{p$ibGia}&&e>3oO+dy7`@QkGS_09N9+a0Ij2}Vz* z5;-n)=P8nMpYsdI%%{5)7m9OBLx@m82J`dB{F6@#5PqVv%O6tkx##)y&FMRdQC8EV z)QF(G6X#lx&Mx_FBpzl+1xipeAAbv8s2LEB`IRqO zf4m%0O)DAN-jS-I3*4A$yq@E9Q7RUv}SeUnF0}!ykgE)_b z@4+s-G)lJX{b8v#A-=IPoaLCw)kKqsaeR=iprV7ODOTMyg1sZo5CWaMZaK8C)xgek zY?&EvqFOoqn*(Yc(z$0GwSDy6f0reigj%x#|MO5Jv^-tyPy3kyuHo+wVVA?koGRQ` zUkcq(-h1sXF4u*QQemm!vU;HG4;%|lWG!PH5~1|5*0`bJ=4OPI?#$nMYfi$2(yQV4 z`z7nI1%Kif`tiKam?%d5!_!Gb>C&}&8@JyFlTXtu@F2+o>yck9Uqq7Fe_}Cvq=F5( z{{WIb{ZuXY@hTHSYW{n)s8*^n#9(eLjF!&3kaV<&D7EnBB zgaLMLuEE!bp@nisb%TX(e{2sI&`>e0QD+tA^{5$GrODngjP@F?fBB^?Lz_U|>8@@R|< z9ANdE(vW(eX^`CobEY$to!Bg#R=aBG5~PL1oBF&tr)GGhQuA%AfBE?QX|!a-?@Rr0 zIW<$$qumZexRUg-?H~-iZm_Cwz$Awy=mO|7+Wyx0@X!o-XsaWnV1Yf33*3hI8a%=RS{0NgItF2{o^`5su%JVXt3~dQDD4J$@|xN-j|Sgw!ei zIa&25d*I%Ve}SdvE(()&6`hF`-mD7*wSuA0oya%yEd3esm!JMTxP^(JyFP=BQKsg{ zZcF-4BJg>k-~4^#4UB6UBHx$fTXiATw(RY4-cz9a3p8NXE1$!Ehl1%GvS+|YEQO># z5hYYWBqdWnPMdfWyor@9O404%_YuaV2z%3qlRRRne~tem4zp9BlBw%y_w3weeih|T{ZsD=c7e!Hzd(}uQEI1_tWfzfF3^mWsAPIUpLQGo#Zrvn_X%D9z-n)@Py|ezWgdn~xO#mXE$eCF_<9w(J7HFXuaRfg zD#8-dfAN?t&@pm^3G2Ow^#-~5-WA#+ie{q4l=m`yZ$9Qsfz(S6x~O?>DfT84O;`q5 zx=c}YHtp5@(#oLcvcQrEfta01n48&YL>QWP0x{B6T%<0~OliY4h}HZU5?jy6)_Ib? zR|z8%%OAd?aF^#;QQ7(-$Hi=GO~^%UG)PIofA&MiB2O{B$^CKSy#e7CBl^I?_DJrk zBhLk&PsnPoAG9iCh3iOupSZoafZ@8AyU3yYaB;AeK}afRdh*G{fB~-QJyfD<@lJhN z#n&LQhfjv{4ucMasIv%p4E;o;w4RhtG0^bwvAWkHxJcq?mrVeh62z{dq{gu(Qz?77 ze@aDGC54x^J&R3xKi*bF#<&gbGxdtX{xRU>|aYM$Kgw~TPu zEGQ2G^-m7O@_T6hYu-!wB+8W6gq9eecCXO#PaX>DH?iB;)nFdi;7l})kEL!mY@-9! zgjP+x>3x~_KFQWkv!X#5=y~tG*?)+9e^=y9XipJb6m{C>fr6&4RdG_`H2Fr8)L;dw z;nvV|noV?52X^jSNP4N4Y?ZhXn$(p_H?{BW{&s^eK07K6^tm9aPse9KE=l{Exn6Vu zW6VV>ik_Ocqu5DR6X_g9QELsK9Su&n#;hGH7H3qZ*HIx5p|qfBkrP zKyYl%sR7n9+&tG4^QcU#{oWiz$y#*Svsx4Z{?;^-$PO?b6$}y(!7P=&Vx(~HN?4PFPp*2VnOwCOx zAq$GdUC8sZ1+cwhdTAYXkAJ<29&u-j@~q3 zJiQ^l;>2F!4GzI;!XB^d|Bh(xAP*L{tEp%j-L@}8W?Fb^C<0Yt)DP7HM)j*^#(;HS;j`!} zHY#jsKH)@mB@*1A=lTLeq0N{R=wkL~RvZD`T69>4g0z6Uc|`GA>djyX3=Kiz6AlOy z5Vy~{-(JNJ5KG}ke|An2Q*zx`$C@wY8OTh`B@p z%>;ep>;*oAUW)s&`nv^zA&n33g;s23^`AROWUaf^1jHsoJ%#3k!=wU|{4GLbT0I1Z zqdQHWq>mo;CkO*RqQ(?dB)4ji#0m1qeK7_Q5pn$*HTco{f2C)dZ?$hO4h2${dHQED)yd2ZQ}EUH{AdyElO~0*)lR4N;3Ye@1R|q^`oOGW57x zp{W*bg<(K-&fCqRO>J#{+f0)Qj^1k04rq00{(F*jyV? z-=q3bIj1?mHg8nYxS4<^M@;2od<$YLFN+{7$aI|#NhJ)0f=B&0ENQIsAZ=Gu;>g1j zp*A3k&yPEJ40QB(C*A0oBBJ!v%3Pt(lh7sB(Ow!4st{Gj;)rGL@{H`o+@*Q9T{H7U zf3W+2e-ksQukC}S$}-BzEReB;)ygZo;=-GcSu4z>!#Yt0p%&RjC&fgrPcpRpnI||G z3nEPgD$Ww~jLX}Maq*Q{S~{$nb)m*)^y6d6_pH|NF(zcJf^p(jdPA)7$%1le*7!@s zp9sp2okuw=bd6tX)o(x_joPRe)EF)i+er=)f5LD!!jBj)LZR`*xo(P7B$S>`W>FS3 z0uzq5xOxylf^awyiIUiizc-~4JV=r&ymjfLqpZ%;Ii-sD*MuY}TY2HqEBT=MrK zdMUXTp|kC3>lQictrAOKb0X-m-n?^F(Ejj#)@_0l;l$QM zf3btjw{Z8_i^e$m%cRHw4%%MZuUxmAAuU64=%$c@Ei-q4hd1(;BviExpkHg99y1s6 zqpJh6(5%BQ%}tkZUy!Aa|`>Vdc5-&=Erd zh}xVusa>h{PLCGv)Te?!p|6KPQ>)FJfA@Uf3b%Bj|EjH?kjf=`Yz{-IL2lw>b-gYB z4P{ogW%)R_v;_m=_}9rzcBN8MIZz-yMfoKlg)< zhGkc!kc+kv=~d|oE4n1Jqn5ZHeNoU=;b?7@+RzMbx&sSBZx8pvH8gwD;acl7C6jx% z!ir`OTAT@@KX|?-1?~$jBMmQ0TPRNT6R;|u|7OTX0cyro7V?oyB+Z@U_->!omkohb zbxv4}rC&+6&RxZWOu(?|W4F&SFSgj{Kuz_u5qcSaUe zW4(p|vNh_5p;-7_THArD>(6?$dqW739Hal_yT7PE0QFsbAzAo7GaZ%%_!dH`6I1cc zbRUJTMPCm4K=H)j9LW@{A!NsL&r=yF*6#LEjrn?sY?8mQ9NlR2=coOBe@*`hu7o~E zH58L&$FaWPHbE}e1w5TST`xamf?s78$3GQQIjKzhBQoTw3qN3gi9+zYd{=}on%iD) z=uT?69We3B{D3tZ=g36HiAX!Wz~5(%{G0WxYccG@Slky6j$aoHf&2a?b|ccu%-H6f zZ$Wp-sy*#cZl0_Rk-J`af0(I-JcuWiEJf~7MO>)6J{bgd2T3DyVfg6!6%w2hjo7u= zMQkas~;=8qz&UMH-DaLcYK^BHp6hOVo1cEm$)3k8^FT0dt-R9jko<^xuRqJ zorduawsM%WyL9`|Dv#A5z6(m*3KW7)Y~@8mhBiXkEP)YGMp&Sue`!ggRC=hyfX+^c zv{jd^RrD@`ZRuMiEM*J_&qr-BE^LwIRF+DyOY_f^bvEzreTD!`4M8oodqeufr3duX zZ$wcuRgdyBCXN~AbQ^o*Ch$ccRLmS8FuxhN7zsY|qW{dUtmm_dR4Gu|W-?mOTlp)x! zCh%%>NpU+(*%TGeyt2>Wis1%>?BBg8zC>UCRq5?L1C?%Mys2Bx)YowYNNlR%g$cmN z9}Ae5XQ4EQTZO;Sy;#<~6SGSv>Syw8ahq{TtmEObz~sRV>YC{uY})sJqlQBB4vHC5q{!#^gW7nScp3_sbN8CDyr7n#V~?E|9I-k_mNLo%4fhO-F6TUBaQUj#Mu~Jw<2h46@D1LO zZfp5iMKr9?LG`(zyh%w+;Jq+(o9qxE>Ywtif6+l8dg+722YL=_)WR2XQyu5Qn!QlO znXlu^HYh_q=lGSY-xmP{H;7+K3gmhHiG*^X!dh*oB2Owr7d_zj`hG!hy%i}TK*~qq z8~RS>;az@w-B0fwKmC=7Auvf4UOM5&?#qvFUKJ^I^yEozq ze{VLG(T}Xh9Jq&w!o|pn*f~j&&`CEsz5+h>*?F!iRK(^6vKW1k={0#eG*5v<@_0&q zM{QA(9^=qXf%GJXfycFwnA9iwmV+Nl;v1Tkltjrj!38ap!fY*p;!{h;ak=`TUCG*w zqkJrPNzJGc8w^oSFA7Z5`^lf;4Bt$xf9=iY=-i|c3Vt2rF!A7?J5rRYD|c^{Op+IB z-Ov!~-(t3Gsv91)lh-Gx%ib_iQ}O8UwCfkTDMb~|K#nP<5xk!|_!!N0^`4@;;Oc(Z zJ~nc#ovXYwmNJV5|G=tcW`8G~a(Kj&XIh-vw0fN4=li_Y^zm4NfK58M`$4G2f402u z7|zIpJa3^N2$4cLHwcRl#uuI2mJ5y?$0KIs};bc8c%d3-8dxn=O_u_OtQ| zP~CX?p$PT6w&4!PHKb*sI|Bs|Tp%IGVPhoQjyMv+eNS4NzKeRe_o6*ad*(e;FUsL& zw1lciS=`?s`eafJ9A0R$sGr>`e>5!DmAl8n;;2fzYayV%!XZR7mti&}$P1r^1Uf(> z)KkiO@tEl;YrL3t?E4xhDOJ;`PF7BB5TVHccWbK^Jls5D`q?jo!tj|p9wJf95V{**3VTS~IAr!Lp)AmD!R4oP3;_d=wBOLuhRudMq(R6Dvfrl@n~R;suk zck8tVN8}e^JI#x87hUIZ1Qa_gvGQW2w!1=9o8EWzTzZ*M|&6K|8M( z{3-qV1PC=Wlg~7#IXV!qYJX=2rDRjZd>ec{LjtLOq?Q%IT%+26@$Gf8f}^TaJI9^9xDG zVM_RA!S4V!iIlo>XtYr5oVBz7coxUb_M(dSvqANtUHIOW(El$>ejMW*4ut_Zs3S z(SD841_n0ye7hTRe~xgeA9V>sZ^wyF!$e+*ON1~$`#SZ@-LZ~Z?RLW}==7}cs;bPw za~emlzS)@r+R|2|OzA&DTED;Y^>#z^`(k9}NCUao5Ah#+p6aIx|&8iWgp?^RXcNkwYM=NIk^D@=iy`l8K3+#WNTwVJ!n za3vND+*hi7$itboQOO8Z(6u~ITjy@)s}V>~K!0{9Z2DAI zvs%Ij@>L+Tt*u@_0i|AG?k#)&_3bUS?LJ$YWvjt`!P6R+V+lL$aN}sXBad9-45Zme z%r!ayfAw|tVn%P8U1NB=yp{K8{VqDs29d3P3-k`%3LOs)z*R*dO?A-+|JfR9K>w4{ z9jP2O40T7i)#g*(yX}$x6O|fj;5)=-Y-%1POU^_Ki^zRH+{f>h- z>a!3q_{E|(bN<3AD?Qsu5@1DqOo9zzf6K9iB(N>A7S;lAKi3AdHLO{Cm5syW7)sYW zF>mlinhfRoL+|19t8hRv;;%2O3a??obne-e>g zVnKvW#H%79R`sD7g&7TdxgKYx4>_Zh#0JG~ck$OB5?@86E5~MjqHf?C5g3}7x4yq$ z8hr-@g%U=tfDm`$@+^b8-heP$Znx`Il<=)9(OTzpF{s7XfwWT%d-^XE1bD)r{y;4S z__b6-TdE)TSFP1UpGl~@cheQge?g#2Y+6Eb)T11%f4@0WE*B%aSbWoCQVqFr9#GM+ zNjjlTYMUAQ(?+Qo3v~Dqi2^hfQe~*BYEaftpceLbG#$7(Ph|( z3dr69L7RK>*s&}yjcF;K?yr!38e(e=JDhf()l&5uTjuu4u>7CoX83f#9T5#4vkOlo z!c?VE?vznzL>A6p(9C{Ke^HS~*L;nHCf2+#wM;h3j;zNkVQdfUbv3U(PEUb66-X9t zN%O7&W+`e#w@jaBce4ihEQrXS$C1GjF2NRXDIhv9+W_{c5y4rU2r>c8n5Nm-2qgD@ z8(Vf)9S-nGbjeZhp1($T&#Tb^bhlnIJyHB+FLDmZAz35tD0fMIf0c2lbTxEN+|ww) zq=x%GBvqnHz8EQ5&iyF`q6j2brdD{JfSZ>cez%4;!oM~t-pY&;2$_b640|@{cnzoD zMXZ7K7s9pT%QQSM`TIM6;ZrtE2rqadD2d5@PupKFG7qIN{wxT-{YdAyvhsUv0cRtO zkiBb{um8U}UD@dIf0>iJZ}>d)`7B_(ADB=Tx{iCXFClIY0Bk^$zbI@M^Mzo;>B>|r z>Uv{yMWhO``9cxesN+j$hI|Q~*;I>}x6eD5?m^QSub}y&q~XGHexq%`fe$(Cy#=af zAyhsw#s@Arm~*AJPGMG4SCFXWjOIGu-LJw2;j0~WH*Avm|9+j&e}7VvuPBE7jXL-> zS+k;+9m)VIhAF(+FR~u;(JvD!V|E$QAjLg)NRP0?SQVC6%o+NstWgQ9Wmg-y55i5u z@#Z{|Eyj=mTu4fgaeqz|R(2zQkRTu4EiN9XGzi4;5fFp5-dBu;@CDu6T zguBG3)Q6UDgRatTBUT6r0XA`xJFyd=OB;r0t7!hPb?9q!#gbo=fBe>K4k9AgtsW~! z>Bx;*>>2R1!cw|?>W8K@p^%bfj`SF;z}+>U0mwahLpziq?SJ{?d+r5ki)CB0Nf!)f zGyA<9lzhOIE=X2f)DaMf;DpFh92;6=3S*B>iW7Wmp-TI6TLTt3A16&H)%ekxXnh+G9lRLKhaBkXGQ4~34V-H@j{j}s4eXmu z0ew@DeIiqXa3C4dM{L1z*cfm=d{nsYpMo3d>-itEi`DBz4FFn+pp!l~NTzZf5FTVqyt0>9ORGH$jep zh$P3K@_%oNnYEL4{WqZYhel9jNTU*~%jt@jj6yx~x+E5Lr8pR8|66EkM%e`f6u0YY z(m!toi=x4L1c!@UR>Ll*?XZR<%JTsl^507;4D3A`WRGfbZd2B6nYL$bx`3&ZCc<@s zJr`5QpRgB8&LiYAd`UOcL6PamnBXU$*}0sOlYh0~z`jQXRt!?Tq$&e3X5Y{2$I_7M zbM%w!xHK6RzTs1W&HsHlM$ubP8a$d6HNyiF0nmeWGb7QCPfSb1r-l?eT3sH3p0u0q z5U!0e(+Wzj(p8&FcNkYD@(=s-(7hu^FPLbxG{|hC(lndgzvh$iFDVEFVm$>HBOwPG z@_!`8?~`<#fC%R_)P{!bMhk)_4qbUa)k-;as7AK6Wa+Q|ogHRVdB+dXJs6<@q|aDs z!EbdBM>bGwV^JIOP2@_spx8R3%;O7Fl)DEDnJrSB8ky9M=c4XKY?L_)tPWA?#sNHv zZO5uCD8je)SS>~v@C8y*r8as!tv5K9&GK7GpG4OSKKyV+Q=Ss3^qSV5P&o?nkokvdC9}b1{gPP6qj8ato%k($AJfx@UoupTh6)ijU05#fX0I~$$#@= zXFH_hf=uAiCq1vs_c#WuwW=4Gi@>)Hh&l_Ui7K&W5|yMblpZAHWYkkFEeo{t7SeL@ z8g+oLAslvDn&u+;@LKgXuQwXfL4eCL(axYZ5U(5Sshc4pB^j`2dmn#Vn466mhzv9B zl@OfJRlE40))};r0K$XX@tn_y#eb|n|4?+iGx&Q!(?JYkJ_!v;;z&5OyO|C40_jbL z&q_F?7Q?*_9MBVn?9u1a?nm%3RVPlYgs-PKF8J~{EdIdU3d=?^p-{sZs#M%rIH&#y0$W_8#e4r`;KYk;Lw{v}2+BnM zk4;!24ejOP^y3Fkk_L0km!=q&-2b`55q?Ala^QzUdYoRG|6e}-?)K*|5jz-^inq+! z)Jvb?CP6rcX|xMC@mUAaIJj1W?yIoKU$%MCt+98J^ZKRzvtF9_J{{dC;Q=uLaOv}N z=kBD$-()(8-Jmoqi|z6Jn1AY%gLoXyH}lu~T9NUn?Y@}CpNZ$^xI>7pvU|sVghPDQ zR5@^>4^YP?Qzf4NRM-%EBfz)x*Sfx{?92n3th&ELlvTC+h^N_rX<9YnaD=B=P9M+9 zkwc+Kz&RiL5Aea}R%W52+8h@D3A$oV0rXvRZ_h6M7f;;JJ5H8741ZCLA>;9p0-GV~ zTr^~`BwnQq=xP>GZdFqy^=%MzU&S5h3)ifin4KduQ7`PmgXeVT%)&g zUv~KSULKEtGUckZ<{H%12ovhN+1Q|1p^Ksb{8y?2XpXpD+kXjt3&pjZtK@_%q~hg( z$!Uuet=&=FYoevwb_%m+79qmxb&LF$qVWuA2Z(1C7|VBUZtB49fnng>S4=r`tC7)& zF~FRFcy<+3`ZNm`K@^Nv(4v_~j(Vh3=fo;;rE&a}mWq9;2fApYZqfa0MF!0>i6F0S zOE_uojzP0ckbhl>l`s#|MQB90z(7aqKb(@Q>Lr4Ya6p}ZA$vXK0$05}zCUDrXqpL` zes?zi26^QNMCb$=O093GR%X6C+eqFqWw=D2m(E>m*H#lP&kPABoMS-23ofgJ$8pJ3 zrab{k1LwjBEYD+U;I9}t4t))nhFa4kMq@3Tt~7I{3V#nVFpQzb`gV)moq392ie|~> zGl>EEA~z!vxPPaKD14*$LF9r32o?C+IsSLfaKr4l^c?nJ+op|vInN~Jza3HmjWD@~ zc@*fC_#DEts(={}vj+{L&)*<#LQ}Jk*goA;+!BN6!Wdec{4ptpZ9pF3KRS!hC%F}^ z;3!@&^M3)A0RU1h`BCaDG-M>+85PWzDe^ct;_|M4TWmR?u4whhkD|>qzl_g}Yr5_X z|2xl&#kh_lNX^}T>J>#vqc-g}7#Qu%BbF#wLkgBgRorKqrPmt7%Tg%>8i|sl^Ow$o z94*9LPVWa$B9dQ30gWDQi<8aV1meF9W<(iXn}5c6nCB&Pm*~R{Z!1*h-5P5c7_;=e zS^!TwDLEEc5`Ewc*7uGh1_--Jq5}9ndP=Dh>qUt0XnBJS%SfC29Dr;; z&VP_?+&8$2J!)}Hrh_@ByH`#PpF81kItW?I|7(v7hjW7pv&b`tR@w{)>%736O zX$LHdd=^|bV!UD^wWNmAdG-B2%?K*`@8$D9IjHWNGV{a`%#&0SA;Hvl+Ypo9V%EfO=?4ksNECJjaAEGVSl5mFboJfJWIc%ze;#|HFaVi@G6ltZs)9!dHW^R z!@oyGbgMIy1;)NDqt_&1$LuBprV|curpC#$8itO#G3-M+M<;XOFdTw#7e2~hDD>T*#?8s zsltv~oRZ4yE+VST;&m80G{}$tvIbPpQgN1fp;1IT3)Ws@y5_ z)K-C9)f)dx$M_jV(K?=L2@((}LmX1%ARc@DRO>dc5DNRbEq%+fU7l&Kq-s{%1)M+S zBgr(mq{_^)crUP7d9vT%;u zI@TFpNcYl(qf?p8;(y2U$xii|8yLNm#n&(}4(G6LxDn6JyHIwdcGSt2rN%NhPQMWb zeaMt$(I?V&a`)6_1NX;3>w_LZp!Vn)KFf6oPbZa&`_3jMb_1T**{e{%dn9e(EL^$7 z6YQVn0&yyP#DN=l|W?uFpH5r2fIE@Z(wYqEA&J#lLC z+&?yG1j*Qs4(kj*LD#o0fMVW9&xXR?OvRy@-G-imYw+KN=L9{X5dXzyyY)eTn6g02 z>pG`R)TwboBjuz<{l5dGicLM=yvr$z#8Gp5rB5HK`7DY(W)2g@W$QH_Kp#}}O22cA z>L!X9H_+1TL4PAdp-s+AK)?(t+ZFS7WK_)y_}RG_)s1UXTY!yX&}b(_xCG~SHM(zz z{D?L-PO>(QvUn^7<04O_Nc#ft!ecX)5|CFg6j>@?RWaYRzP$C^?Na>lsx*`SfL8j< zP9;hONf0Yx$~x5>Ej z7&$F~Y=p%1V}B$h%#)0Fk^W?xjaXABY(;2yrg^=yJD`Wr)84wMj&dNU zUFLm8L`c2)=y4$cRII@v(9$AjJOzfOviADfcN;q!(7y9VG#1(ZZ3Ux^|JO&p#lY0g zZ5It%CzV&Sp)gc!Z@C~fha$H)^wXuw&O9y#m4B43Z+));IN$|CWel}&cyX|YRkXIo zclObwi$v_#tgS6(Fii`!M^mtNHHU!FROd27Hj8$b6qw?c2FLkZcXWDDB`ndOuW?X` z!PmtICQvhQZDU9C?bh-s?ckA%$RfP!P)t}&LP45Al7z3WBs5!H;lIZK2H51x1qKYM zNq;ng+iVd3CNjdUkAR|?i^=rqT4dp`jff@ML?>HhgFjW-veP8I7>VS*plJ*&K5E(Q zZwu_x9hdb7!K`BMJySlen}(TRNoSOM_?cw+n-GDvs~qcb5P9kgR_>%QA~z0MfUcIs z%hd%XX&^mV$F_dmC)h4i&+1~uAr*yb@qatd@4V&6ml)2UN7Y#&WtK$;gnHs2rN{7q zgNjgR$Ks#VLdc>U?@XyaZ(air&(aZ2>@{z!dq5WA@S_ln0974YlUNpw|bU7**XNsl16(rj;tZ$D=0)kKwA5>wo7O zORec26^1-y99N1%i8`Ox2_M*HY?$^88;g_?q}8?2Im}g7x0d>u!3`Jgp)jiW83ho#k9^o{a1>Lrz^f8Xu^sPJ1%Nb;eXF~fO+`5 zuktq=R)CX?j?FzTJ6@G^@zQ`|O`$h^E{D1Dp#^8%?>u>5bdp?0wUGMS%$A0;gRdBY z{8R>KerSq7KCGLGc~6x~D&QCl<~HtDu@mBN1Yi~Q$BO%Or{lY5r^@LZfpkeer{ky* zb#g({12|1#GF5Is>PY^T;eYBH>~w6RXO`;xNAu(!zu8bH`Gkk+%nn|<-|P>UqEH$@ zJ#H%P*)T^GnlR@8ZYxXtF6nf zUlA0_(vcW8?~#a}Q$o-FYn7g;x(;uMZOX`mrf0vLdCAdN155k1E`K?7_Me7uRyN3o zf9d|7sp1^#eXnUYWX1LQ(U5-=rAD8Z>!Wr`dnS;lY}vUR*J~LWUGY!V=;>FbY~bxN ze@2{%)dFsNZa;+~DdsckhYR8u^p}1CHI52O_<2ts;TW*Ke+>C)6~(i^MK{14@aOBP z!`WFhCU-7b1>|A|6@Q_DN9x`qqelx%rL1fHV61m3T~&fMlb|@XJmD?RRUNqVcU4c#bE|13|9(4XX@AF1LH0 zwhLX&HQ>f*$oKHdWr&)S+PYdidN@SH66FgI?vb*>FplrO@_!r^+Dh8EiQZ0hO`A3* zb3hb^2K?NMn+Dj4wCO2W>_?WVomX{RZFe5Uh_n$F+vVP8H-XI))-f{A6yVWktStJg zLm{_fh=PK8@M~gJ)OmFBd2ZDby*bDw;`((IGWdj^ZdYc@W*A$aq!daN6 z>S+xT{er3jtA8_KuX9Lv2_R_5!b8%)e6yS7{p(lMtUIq3#)YZ%$c-jh>;*ZM(o|!D zndxl0r^UINlfL+fCzK}1jAIn^mux)AS#1Tg3d&pyybqogEHDK;X@;lFHL-R^MAurcEwlbFD-U$yrQ40|qX9Sbh1hLxAz9RBl#vs5qY<{wrxf2E@Xy`fNfF z6IKx#Vlh#K^})*+Anu+FrdsTjm6^p%$XrgZxaHx0z5p2~DEmEZ{F?b+HbMv42u*-# zRgA=HzY}U(3C{8je|J4a7fbqX$jR3-B$rqR0w)qNG&C>@FHB`_XLM*XAT~EPF_(}B z0u=){GdYt{Hz=3wngIxZ0urO8OC}-BHX5Y6yQRk1V8jMvbVw)yA|V1INJ=ZBNC?tQ zKw7#>LP-^n=8yWk&+~uY?>p!F&d%BH>v#2i{jU3r=Z2A~u(C7S39f-gVTBFgsgGB*6JZ4C&2mB8T;IV*Xe2{3A?EliMV&G8hC8h>{#a^1}qftN|Uk@Ne z3<5vZq+ z2pZ#}z%K;!M`B%nfhKStIK~g|4E)tD&;aTQ|J|4ffCp&iiuCydo1zg|e<%hHyaYUu zFgVKR(!m$y495U3a|2Da^?-(6aMT}Ty*~y*z`w2r2oZt&9quphUxARQ-_B4N4DIO! zMFk>JEsNzm;L?K zoevCy^uqdx_#i!gbqM+u=JJx&QO>GpPfs`s>jU^zpBfSahh5%!An5m4-BDE?a%$zzZKz}w(U;z5AmU!T8Ec9-08Mx#6e|1tkPT#%-|riPlX;O~b2R;j3< z1AxK8qEbL%Q3)^*0udDjN?jg8{~g5$iu|hz@IStP+9(7XDD$V-%Uk+S!G3>DpYN~5 z;0OLYmI3;bv2Y;YKLoc0OMqdQUy%QAn*VnBzp?vQl>Z08|GORyUk{JpTE1WE|3eG) zM0y1NrN88>FZPoA`sm9IK>fF=CH&92>cgFpzMlWB)y6_EcR(5C;_>$-B7HQF0dQv{ zBo^j>`iCliaPwaa=7B`PjnF>GUk?gU7y<_Wm+o?_VD6WXhR-D*f2-h^OY`rP>L?i6 z`PX)dN=O2s7z{KJa5>^jNdg!Qxm-hMc))K413@AvH1^U3c-dYk5P`-3e$7)t6bM3~ zeKEh#KY$bvgt|=qHy|zp1flX~JAzI~-Bjy<;S5g#i6;!oK|ro8brZiOrb%k|27PNhX8qA zXBEgpKH$sdyn!rj%>n3!OVBEi;|fkmol}H#?8~d~u`&}1?GD&Q+UEm;))*OCJ_)dr zey($7v%MEcOZJ#95E)p6^8q0P(M$L*lKMAK^&WN89@imBB^cVHpJpC7MS;#|;8 z$=k_iqbwgQ-Z-@)rCs4ZhfS5nRLJAI-Sy)98hOb_w0?CT51xh_ky~u9lrT&rwRj=j zlj|Jac1CwUJGNw2x2l($^P@?&zgAoBlDVlEARe?%x70-$b(_Uc5Y-odeS$i0?|h+t zNzv`A=1dnoA(miFa*(dVY-5TD^c5#x&n^jQTPUhuoF6hck~`0dhfJ`3TFSFpbWfFH z9M;Z!r@+H{x*j@w2Qa}qy<(Pe!Cx9i&#leVf8o9G$Vi*3N?89|%D}osfO|h>TL5lT zY3}{VbQZ16ypE)6_|D6Jr73w=<*CZ~{$3(k>SnGaw9}Yh{Tv+_-eh~mu}77+b)_v2 z#|}>EUcb5zGkT>G6pUlZN8riNAJ#ZS;=aymKIIK=hm5x)=&^V|bnIrwZ$o1pjTBU>azknV&&yXR|;zQWgqS-Ynbq=NQe>c;$(tKI|9>OJS0 z0UTw1Y@1tFwb}v7_}xD&-{w3g?m8Y-2$)iBD{J167$S^%yti}1KX2InOA7fB-9$&> zgx_O6g1sSeA>o35NO;?~_rnbZB#I!D*yYW1#RRn~Z@71BS{u!?hvjS}Rl<3>B_sjr zTiZbH{h22Z8Itk^#L({-E1pDJj|hT;1r0=w&#J?53Ij1Qyz;pbwVm2JCv5EigkA4_ zRZbr-RAgnYXe5L*SnOC{{dCH$Vgp}%f5gV%qbLCH)xK_jn1Fvoz2f{@8jA{pExGj+EcMyJUtOCw9Dp( z;;eX$RNWqbPiYb>!vz5{*4)faCuKF`{e!7bb||TG#y8lsR$aysr&R5N@?|uEI~Nhy zm7d-#yA-i6=VTe?p@Zm?Jf(QjAGawrXYB$Cx+G-YJgo^udKE+Wh);44!#F=S1E*-6 zaila3cG*Ml)Zl!xREL;pL_ZsgL;r4ak>t%5D`A#@9n5m<>;BY3h0oDo9Q+< zj>?*U)R;tMGV1N}=tud<#=5E8&L{jEDZ$k~ujJP~Z6hNhQ=5HhJnS`H4Z1ich?Gt? z7WmlGlYA%w2pf(i=~E`zUK2VU>)(&yy(aLLq=uKEtOzH$qWF1b1J$gY`z|;uyE21A zs38Lq6P&4j5VI*VW)k3OAkJLxKEKLGhv!d!oB7T|46J`dT}IHHGrhWKtBx1PT!jH# ztmD6(C&}3NI@{{QZUxSgvdp8ms1%L>SAvVrp$_S;EvZUzE+H;l+U?ISwJ12-7P=%Ifa9{wuZMDSBqJNBaZ0-2eNB_ zY)*#h)3?9z(`;+Kd`~>0Y=u9izR~cwQ*hc}k7sK^5 zu9Rci@x0{RSDTckWIaVhqCwv2JY`-UK$UP1D}^oM%ZjfK?0R}5rEbp3?o-#t5B&n{ zJnPnKA8^WKq_MnD`b5#kRt?vYKX2+6jym8|a>|E;X zvdtI^PU(AmCopxbEY)tXk;?FYu!v>aUmgC)i^SsO$4LnARmiEfosIL0wJdOTHM(il z*+Pd~sUl?O!S%*y#&|M;uO%!^nSuVh3}@6dpS26UcO@{2) zC{}IVmAac?3E92!l3aj7P0nZ;(&J`#6uUqcq|-!un3_9F^!1Sf`!_l@mK;SRhl?HT zAUdz_hi+VIl3pAytSoN7mK|?@j&z1?{(kNQPbNB3A_clu;b-xG6I>z53FNo#^e^2u zfe@@r7V&ctf;uy>xz!@WeR zj{@Fp?qN+0DRaPoU8mh;nop`bcOT$;sd3>6KrKrPWS_EGihkLAfA<9-K#Fdq)>NILL87uC)i8TvaL4?ktRniQH+dDc zr7hL@NBVCo968=`_(hD2M)P+7>P;q+C@lg$_eKm}}zwhh5 zPay6P$}!!U%~eW{SLkTa9CHjdC?}4jZg5atc`>OXw~5L8*gmgxIdD@ z=cv>3a-3O4+Z7qcI#ol46om5TwGHU(aS?X#tr98D{?7;>s>^hg@ zOG321W1aTG=SP~Vam+B>g7!m`Ha-N+mAB*&D#fMLFA9Rh*1E*3sy1}@c*-98(D8eH z8u&REuzPT!Wyq*zGVXd;?*uw(gAZPL@ub`Rkto&ZYPYRd)R zwGVpuEoSMtX)#jJ+&&4w$`Etcd90KC68dl`fuXJh_Ao0JdJb5{7+HJQ)UwmIAz0~i zCdAC)McKxZZjXq4skdWqR8u4uVehP1>j`nGe?9k$>KQw$lU59;QW})|LUZA%Sh~}H ze)s88Tiw}kv-Ng5V~f7d;pVOj+pdA&m?IpMs1Rhn!0e`u$&RY;P0}-v+C#2NcvF8$NY6>=b)A#DUzUc2 z(a)GNgADtv&C*ouR*@r}pyLl;Pk_3A%=Xnq_l=35U8>iSdBO2Sx2`NcFTF;5*RlBi z)-8Zim8I%z&rkPQQEROQ>c>f%+#5QR9s46G>4wz`x`GiDY=RooKSaoQbZ55mFUBpW zs3@nt%vsu8B~SH*u^Ch6pNyI$&=n=EnLUSOS3d{ND(qm^qYg6Dw&LP%X$)R}*BmSU z#(UPpF8c;oTHs8-$zXMV02QMBe&4RIq#(c>w8jJ>xqn*kgDf)OcJfLK|KwFGXYRBQ z=ITx^)zaA5J*wjEoVjAnnE0w|P^h>kub_kBV`Q6I5wCPZw^!wEr;>9 zqJq;lS((B(Gd7STKPO>x8+}Y#?k)6dKT3v&`!a4+&6vf1soEN)~i4dT&Hbk z8FsiCJ#X5$8blD^BCe|8daJA{siIiJf$IcayMWu@pu1T_aJnZL>rlEmkWM+N+<2u` ztEhF`><-m;Aa#dEmE-q+$okX@FW{6HE#>uxGPPJCXen&EIFNYaQQGK>{3$p8Wx(lm z5t@_9K8aPbUhHDNXW!JON6(tCc?k?l7uPNf!u6;~_>i%Q38nSF)`U&0j1s9>}^yFPBkS>CSgB?XxQzQ7yqPhB!2ve#z!%@*DmaiPBz?MYr!$`hThG6W}Z7Y`A^1+&5pgTY%sh=Be+t za35)${F9PuPK>Gw%Ex7t_2BMqR-eo8;Q;DQX_{&FbUkHDbG`%A2X*WO(I z$GHd^cs4Q((hyI7Nm}2ImVYPt0{yz=1>^Ul=cAMr?1+&*hm<7ijaIOgRw<8orJ(+_ z76I0OiwQUw-}+#bgfH~SBm76l)O%={O2L_Z04!My6)ad1{KRSCM=H3zENn|D7lf~+9WLwste%UDzs` z^(PrHB%3g9iCY&!i|Pyskjbi#*&3C3zDWE%7;2PM?R1qXr+g63!J@3QM$aQoDmOhCR^l-{M(ZHjv-Q}r z+O4d?S>Ld|nd38F+=}V6C%lxkjkCRRo@_uq-}6eDOiCQ=2cp|4N{##}vQP)DyxaCG9ztuOt>E;w`K=&zs!S_kQmXup4-K=?;I=zTi+V~0q zx^l;Q;fE0NaKYCEt>4o#cbF>NaD%%1ZbbIEpzKHu$C8{doDS16IZ2_a9;z*6T(-= zJfxt1(3#VU7z#etZGW*C+bv$1=!Q_QGs2t<#Z)^-cU`S1>5>Yutp(c$< zkU3(NAd1y58H^5tS@Gjf*V@*EsfJ0^3-;aJRtGj_JW=kPk66bgiro%CqVZubmYp6X z?yFballc+D)vA%^X+2%K78YWl=Jhh&jLl5ahUeSKpcW~N`V@zCEBhWKmXkGQOAi!( zcuZXd0@t$@%9ya<&is%s);q@`ousz(}o=OczfL-@Ah=vj~kD=Qng9LY3GNBzVVfyhaw#HJxh7J+S9M_Dy8cXe&prCll=?$JX6_)h7rRm zW)_bK8MR8Mtr-PdymUfM0rTB&JiVH9n8l(?x~=b>LGyjcXLH&Js|8Grt@Y|vj2v!9 zYFQGhTgy_NyVez{1pI)%(2=75jaCOTJq z2YbBiJDQX-xP1Kw<;0hrsD-Y23WSzPx~Ul*0-oY8TsZb>a8b^$G$#dr_v0nXna`D& z7y7{O2yhIdU+cCHO&9UGJ647tzpnKRBdC-;T#f?cu6oP|Cp=#46O$CaPwP=WqR1Gr zI~is75TAVX3hwQXn{hFaAMg6KMNIWGYz>p)uXh+d$Ap=$ZsFqTHZzs=Ud57|=l>Jlb4@%R3P@#-n z3BVe$=l34D9_1o`rB5*V_CCTz{YnzC$=A!qJ-l^R6GdkY)JFCGMxNU8ujn2JC2NPe{7+%}z@eghEILCy1p<;5NCd_(p|i7sq8wKIXAahmYC zvPoO0iY?t#B}bqd5N;<_+Kw@=aIb>a$L`*sr`;;6!}oZ9xJS>J?G>6TuSl#;=h)V_ zX@My|I3FnD7=J)mJA)b*p$S1%3(JA+fV>8g=6cpUb!>~~XAi=y$6*AWGZ7KZ$I?uX zcW_n=>s}ScH70KL@vgh8D)eqd^4dXEW*d76j}z#nUa5ua#O=LeN>G#en8Beo?zeY+ zrP}@7U`k?t5l(V4q#%6hGY_YPtC{bK)OxFL%9_-<_RjgmEj1IN`;B@dzRy049oOoc zJ-?a9m{>k6bFU6+n;RgvBkyyCXt4JHpG?Nz!aB@W%56t_70IQ>uRRXcvKOtSnUiRNhV8=liTLE%g7_hu-`W1N02{w&9ne5O64zCH!8 z8BfuFU0R-ru9Je3GY2PVj-zY&A2SEd-ML~e< zBgLGiAlBia6bj=g>f5dbCY4)dlC?=?cjnb|60^(*D|Z~^ zl^}~xfB60o$+KJE+VOou`aRDC*ni&6AYXXGO6#Vf;ZAM4(JNzINishw z4ZG2;sI^IHy1dP4Of9cp)bd%=XPTnDJ}8YW33p^pncv$>bJ6fV;rP|Sp-hdq-HW*Y z0eT4U>k4IVWOHF)=VSGBPnWG&L(QF()uECn*XqO>bmGVRU66C`39kFfuSPF)%bTGBGtY zH7hVNmsKVLtr|5m3NJ=!a&vSbG%zy?FHB`_XLM*FGB!CflTkM)f6ZE3ZxhK9e)q5F z$8)s8eZNU)B|^ND6Nbr!Jp6=?ZuCFd# z?THskIFX~!P8jQjw!&H`bQI2Ip@Z-)38O>^R_H8ZFd!3IDPfGrIrpp*S|=<9wMn!Z ztk75^v`1qTn1P*ze~1KrPJ>Ut6C6~4Kw)&q0&I13Jxh-a*`FL_sR~Pk61lVf7C-UIK^Ggs4Bl)XxylIxFPe`D7i!Q@{mL$%>(p|r%~u8OZY zh26?2Y`90ouQ&O1+HSCZ2Rxj_*8dPTc@3NRv#`14tmCFAr-dZBTYVWFRr^nO4vEz#?|t7L;u&%u#n)Y0tPZHQd^Y`v^2?xf3w=~+ z*7P&Vf3ScyYKsvn-=fZ-LPbZkDPt~s)vR?GHK5XcRIl15OkVr2ptDWulfRd?%`;`% zc^Pl_gI0Z1h(1#rEcS{L5_`?o(FpbyQ31L>)r@7__ffE_Kx>gsvL}6GvY^wdkSK>C zl$tbQA`2vFQczeLl?JmA9f21U1X3U6r^18ye-pML5)n;16xjwW+HDs(SC|wVD1#Lq zI>COVP+le*=gO-;S!R`h$+$)M-h+2W>KWGbAb$u z;J`Q=hRgs{!7vnpp3%5lYmmQmr%i^We|h*{Y}zssF-w7L$O<9SZZ+*98bT;!%Ynd{ zGo@wZyz^uo;|b|t**X+fg{I-m9GZU8v876$QOHc3R1#6Jrxw9lb8s}f;5I4>hO+a}>aS|$eQua~cB`guC?{Dy7idKpo#Vhe zR$(!ckvi;r<$}6UxJbG?&#jA;e^6Sp1uO1TKy^}6Y$dImTh0f*{DBQcgKee)gB$|o z3eIwd+^$)?)-Tz}v(ZXhc$KzfQLD-kqt^b2zvE2CZ!PZhp4kYT!gDj+PR>M9#4LMl zs&*1wqSMT^ws+meHvO5kT@ zT07f}&w2BCkaQ!lP?!Faf6DQ@Yf-c~EP6NZ@ZYoz$JWkR150OYY3aS_8c~;}xt?5F zQAI+jjnW9^KSXBa6P|v}Oms+4x)0HFr4T5G6@uib=!+pSkX!&-IxEN=F&WO9j_ERE zh?2T=OB>SCRwSp5Mq4BAxI8q)PW;s5M{WDkXvgZA+A>nC!s`~ae`Vfz+J|#g)Vn1W z&lQA9pWDOM{fe$LyVdMyI=ZYU({jCeH9W`6vkyBT22X!`ytnf~D@=@sr?_nuiXFaa zJ;3eZfuS(e^joh-a+Qx}M|96ZUd63#c=@avou1Wn=jcfiLvs%_O+K%Ou> z!2Y1F&fk-F_T-0Ve-YvWJkEwwx>)^3K9W1~vD}qUO#&&-()T8vuRbyfBNf0UdgZWoBXGmPX1fq z8H}Kmx2>z@t!VEJ-tWA4UeGoh(6)rOrkg{)AGB4z0d2y10F?KDZ$bBcgYNYZuAq4K z{qhW0jpScD=-%^9?u!dxabM-qEr8ma0DVASTOf_5g{ak= z{rCBb=Mt(we-FUT(Q4sz)qx>y1H0N~3VI&HQQs2?N#68If-r0CKJ6nn2FY_o~i{qfTvF_8or-R)WWZez^YvSC2jky=&#?8iMPz!$e~ z=L8DZq~|9WN0Z~x#VN#$PEIPM68drelTI3oe-GDHhS00eHNVmzP}~{LD*kbOt@7+3 zgd)29;6E5mXEkk6IQa9+Ve_I5mi#d~uFq!lpA6UHeUtFjLTp8NSQSo0E#Z10+?(x% zuNEyU!qcj7pW4E8TxuS-7rs&|bY%y%D%<#u?68y_wwJwfhOfvrYoK>9H%rX*_M*E7 zf6R*Hbzf^E?puKS?Im|J|BB>rqhzh~Qgpfl-Yc1NMfjSd*|u!I#9M7IdOfXmg~v5d z)2Uv6ijK_1r@+{JSbs4(MxrX8W3?-f@{Q7zFPNr$ z8npa&cmr>{fwyfKl+T4u-^M4;7nX1LE6qpCl@*VN$l;UIURgSq2$KH;fBAj_3T19& zb98cLVQmU!Ze(v_Y6>?rm-jFNAb&SCT?#K#X?kTKH#G_`S0Gz4ATuB_T?#K!Z_6)H zFgH>#Pzd7E_smPnS1>n*a$FRmZJbREEG*5O%nS_7O%2>ET@8#J&5g}X49$$3EnHkp zjO`Q%D*@WV4QoIf8YzGq(6Xm3{g?t6S{}|^zM!dThoZ)&l@FS@0}5EJgpynzb1pDh zsLsaIDr;*f!+t)&JvCK#X6C*>pT!t{@H_l*km*u(WMuMOk#}Tog-B@I!879Llbl(U zOut0B@9w4~8PJn~EySoGpkl;>mcPGK!Ex>=0@80{~T8CcU zQ?;vVW@`3shQrDOe8(FKg0!KKcX|Y+!{`&TRi1hdn7)R5PDUnq=Y8VV6i0 zq1+d!gG~PED9aUe=nr)d!e82=2KbjxJW|I4ct?XK&ub=fI|`SPI3zR38mqGKab>aG zXsBgC4a@T`cSA&Z#wLmnf27>?=cDEyp;P=`eO#3<+nGIiqK>cr)K=yB6p8qzOd@E) zQ2=w@6cSXUmbjq&=yc~z9m4g?-TCD-4kUjQ8dls0yaA431gh|C!`jK$2ga-q+e3Mu z@e8Gd+ZGFLsbkf89__f=1fS>g4=ek#Dc|C0mCKw+dcke}Lf0kmP57Q)k z`0_2jNj|ECb-}`N%K!VLN66W*uXTi33=f2T@|ms^wAjmCGUX_LNv z20gNa1^jt2cG#kj3EC5Gb7Wz`{C#x$Gp+&P2#wq!fA(xuPVBkCzjqG+bo`rFn+*bS zS(8J2mz`+?1<1NuVeWa1=Z?_n0N|Sa0GpNBH`+Bhc<34rc)2^0$aYBxhTR%kn%o*u z8ziaAi_1@x)wKu|%9-q0eEI5OYAxGV<#3LqSNCTMX}j<2;)jQlf)vq(4N@RTHt?z4 z-^=^)ia{(JG`U0PtMwbt;t}%h2;EIRkz6jk_Im!AG?&oGW4E&!nU;#N8(`2^t{(EO z3IFpTpgcvvyAw?kg7eT{?Dg)$th@Lhc>a>w+4k>e>$YPX>N4(Zqh(TORV!y5qo<~x zhRu$(%MPGk3MZgT&p|FoAMU~{Ap64*b#J23m(@dlcnwpp{A;|mPH)^RdA8nYq$_#M zcJ}a4dOn0&qzU#1a$k>DWdP!mJTOlvrvTf)em>1tV(xY0HE6%YU3vHOrL|V!HR9mX zpP_+`o645GMU{PA2f7uu@_Ww`!)RNst@Tf?`t}ZAV;gF=M>lHVh*){E?{3tP6nvS~ zN9MnqfV6l*cMZPQJXN2|-^NdJg`ZX$t*yY&Zre>Y?uAT?tj5lGX#?|x$=%&;D}Egt z1Uz-CHFwetpd8J!ptC`oBl^?qPtUV=H1RLHkLOfrD+IkZ-l-UOzh75-iYoc;82T8{ zOZ?XAf_Rrqjk?d?Ww9MbBRF$=DWMoOc6-g8{Dv^iC6(ZSu7)1HOyKDpcpVh1Xe{^r7RJPFY?oRpNBrd`xUt?I)csKf<`O86oDoc7?=`B}z8|%q;XnKr&-tS?Oc|F*wE=}KS_@2U8Gn_VyAK!?ZwT)`q7uxk;%nm<@ zA_#6)J(RU-?Vk>eT(6(Ol@^jQOGs79Ig&Dul~;<9PH$hkHU*MJnsEaTzOWSH5$T~X z>vwexkY~ps>X&hk3(~e^z!Dvyp$_AiB!agF<5{pl{KoQ>uhAzX7lITp)LxP z9g+_B2qT`Ab$~0!6UVRRPNp!3jL-0M`i%y2Q7?vi3sdg_vkfwLlbcPp5b@UZ*-BVu z1zeDJ6Fi~)*ivZL%MDC*0_jU_i%&Z>sG4>8Li+ulGQ1>#2YEz?B5SP5)#LNJ_Hl2% zsRhhICmt}BW0H*7Hf_v2CYbX1u${L0r$hbnrd4i*QMX|S&*Vg4uLp0nHcqZvzgrq^ zr|v417T((NrrUDLt!ayOD7)e?4Ne%F0_It*Ceoz0!1#9Y+LZR>u3ts4LFVd*gsNSQ z%X-z63STpJY`OwX8LX?PwgBGs_^IiX8Jc)jR#2hh zxxz#Jx|of$cD@G!7JHwOanE3E9h2B>>q>IY1Z10U{RYWc{kwjsrk8C~LuRJq3#s5R z6%NRPi7Ljn)ELFSGFLKFKgc}o7068cA6-@2+M4Ip?pllE%Ru}Zy{Aain# zYJ#lpc-G0=2!CLU&HvcJ&0?%N z&t;Uy-i7P+W}5JN4I>kZms{lRM%5MRiP9`(zC4dy8#GX7xQ~Qa#YO?NNic?{588F(LiG}zm>hBEq;1Avj%n& zDtvJSkhr8rrCB&g)SKJ>TeT5`@QUU?AZ*l$i%eta1jaDAwn zEU|DU;;J6aW(831uk{qye7E?@@p{b71!X%#DbNsp!3oT>NfK2N0xR@i@OY6kV#r*? zNs5h#(B(tY$IOSw0yBCZzvT>xY7EMFa=l&Nk(rX7}r1 z8~XU+At#y3!e0#H;@ukxM$O){!0DX7n3#pFSwJYNTFn5+`YM zG`?9v?)QQ9-X6It6Go+6@5kFtmIW(+cmmOd_RG>LawJS^SQ zb?QzQ9W2Kt9b^9W_txZ+XJUD9`ky3NC^P1n>$~{S($Xj3tF`2RU4OpfSD$OkF-u%{ zzX%Td4wn+q5zkp&GnLtEViVYzFI_Vz zVza}Z=s33zUH13VCQFTd@%7ZM=qkXy;7!MS%o*!WiXhAnb`4vzEpsk@&VN4Oyld?P z2r=PS3G)VPmY#E?CPLzxP14;J(>1lCO)@pT#!s6L^YBwQEM^*Yusom2X;}}L$Nn?} zs8!6Sey;@EwN|LTZ-E!CthReLDMZm9A6AZHXn zw6R3ts9mN{7_d}*VpDGY+EOuV7<)?ILzJ?E-ss1{t5EpnHxtqB+l{0|9@^=?5Q2wh zL=kQJS+Y>DzP@5re&2hC^Th-imX=w=${L!+I zC(2jha4PFvIydh;bszTS*ezXcSd*x#a#k}*RY<=LIAHIp=S0-;gOs<|kje7##AXmE zkZQ6%YRvLWE zRLa+=0tcn9y@6ZXwm6Kx%?A~rf>5@(5_2Bt6`<K{Q*7v3%^)|C_-||CEI{J)Tel5 z)qg-iRWJ~*95We?O6)JQeUl`EID(>vpjXr$48~$a4=4W%!$`!wVwF;W`jr0{24xZQ zKd}GufoZQEBT$#<@>T&#Jv=)emi{9JTHg(L1tg|d$A|q}x&>hbQqeK~7FgGf5QUnf zmxof%2fH$WT_Ld<(Y^X_<-C@st!+@tA$ce|+UTk=q&0Jt5wBUg1$XLT*hm@2wmDtU zEu^aZFH$codcO=PUKi$A{tm4j?d5-JlF&atD_dP~;d7JXbh3?PS68IKl(2LMmQy~> z4!a$m*bj=9-v=FL#9kk&)hqj*Pw^ycU9z);&J;v7l9crRsnSiND}knXBDI%QwXv8< zeiGj%pC5AzpRq!o`gNok>qo34RjPnnH%u%t!KIo~HH%+KWZ}(rLQox_#P)^|n?~ff z@tH=*6a5CsKVQmeTHLF(AfUAX0RMnLuRJ_+6S?Lixz@pn?Jf^Ca!v@YX}lRwEfkb; z7asYuG(DJKGEbVr=yIV*L*0U?ybzK`9emSwVdUf zgQ^EJ-5{V1kv2A^I1`Vg?V(Ipj)zh)bvZm`F7?6?3_8S;yTGDL+qlbi;Di@OI--)hfGVf?uLuJf zQDQdufZu|6+ItFmE%4r~S{hmh;Ybo&S)*1ZsTeNokluA~dF+CG-ytifT}=_%4_D}&*PzhWJq)S@il)_#~Y-8mxoD`6*}E}uC(o)_w-4v z=;(l0kg@M#oPC)<+qy?ihLPR9czs5?hMclgtHaw12^uT~>agoUj5FXuh@fWl)x*G3eoXG>t|0jRu8B9$G3MXX~@%`c|q4MD>J8+{Fbt&g?}crjmDbKm}L= z*1w#sDlrD2!#F3|pWd;t&l9HP&*+M;5n zs2VLoRs2gYIT|*ycU_UIAm6tHBksdODufx?TsP%eS_WxRO-`!bnh7*11|(qX#swce z+aXHvpKU}&Qj?R+#W065a@#z^fO~(Z4sJ&lN?b0Fmheh-91ZrFFD$84jKqk0_tSUD z;tIjt>{8U^*e~T)vLG;sAyM@3gq?y{v;0#A9G8FLw7e-90fwp0J`N&!He(cG8Ib|i zjwVb)qVS|ug(z2F3IuTHib5Nh#!@uxlN z7R?pNHB)%Xs)Q8{IS_n_Bnfapazw_`$vwjr@hsKK5;fJ76buAtKWZk>#dZbT?0RxQ zdhyoYJ*S-DD%Ah0qAXlo_mjC3f*(7oJ%CyihQ5Y-1aNMi@UX1)MNkxh%I?k3IbAtE zW|WhSn|;@KH}gR3*l8kHHtwe?m)~gjbT(>}ZSL~`Q@GvRe|OV*TuIz9{q7ESr(5_m zCc7g-rD(Xpfmf!X;&LuOE;4FRLu|KQ_V;^t3UA4<%IS06)#XQW>LO>f+#@szu@v@1;qdn74aQ-%G1T+OpgezyPYnLJ!9L`LI%BKd;8F^lGkFPlsDW zuV-q=8|MB;$4W=;JS|*Pws-Q?QxYWZ5%z(~ zK0Q|WYmoLi!hR)gowoegQ>`&LQAJG=Rd}y#!cj-Bn)`$2TKqNq%IcyJ;M-33?F`85 z>%cT(G9u6r8Ywz8z4vuzHG2BhgY(Uj%M0QSu#j&a%;#YdZ08pF^?Jy%%H8CD`61!X z4$vqPPf!-W#TR5!6rUo2F}5;r1kS2>?k(1rY`P4o4?S9H&rWPFI0MV#==@*4z&?*E zWkGLE8|bVZKC(1Vd607(!UZ#a2S%HX+a2<|obDVNk&;r1J?vICtWwgy7ux^2spEk@ zY##98U4IXXP2w)Cldn#6I6m^!-zjeLw}FX6Xd~~HFlGOg`$9xxYRGTl4XkQTCEZ~o zv(O9n`Zo>5z6RMhWkk?FyzUKZZx~mc2IJ?_<|{`{bzVx(xaW&NMGyxCsG4ndxth3^ zXO{M(?d9yN)`%Z=X`k0Q2I8(|hC9ARR3;((Y<2V^Jx6lZ~A#Hy^*K%+_VD&z}j_ zEBrL(V{BW9;fm-8(;=L(9O8B{aomZ+EIr#kTM{~HdF-)zAn07=o_N;YEkP1m_XMvJ zd!PX4c8BoN!#Ho+r==TJS)`qaCv6voW}9WthB16=!J`WX!52`30MvTb9nTNYX0Z!e z6Y=OKVe}3^Ga4Cg^0{)^NGzXnENr=-Po)jP`@z+)m-V_cjiyZ%x04=}gu|J`L-W$* z`W#illHlI-SAQECC&8TkWb2FDbaLT)i7vC>aOWG18beeQK@evp19Q&bw?;82^#bN! zcCF@f%E=-yTt$+W0fkY4>eg)v9NfW2NjaZ9i=^)Idz}(u zh`Y{wgmul|9`PL$ahzrpisKjMekZpq#xJJpK)6uI_rr7`&0NXV{sd8s^ndO^n!Pgp zZ$8+uHm5Iqj$NQCS+LZf7I=mBD6d*xplEO~O71P|4@l8qq0@2S@8%0abx~kN!eJ4< zu&a_Z7)QW!K}9G4d=#jcwc#L&-hP;FqU10rU643>;3p0f6q`phUGoNlp#FjGHU3YJ zM7k6%6hZwoJw&rtYIWc$diz6rh?2rM{{lJ=T)bH!cPY_Q^RvT6>l0XEZxbwg_k_C`@B!pCc(%Ws%bIn>*l#j zPD~oB&x}o9H`ZWv7QGWQ&8wN8#~Cnyos6PyKSI2FsDlNPXfx96YAj>jCJ3TYAgk}N zagUU!V)w%*`;j6d9)!&>z`KVZq>?1hE;?;>r9Q6Jia3^q#dm%5Uwcj4CA7;X^$cIU z@Iu}1+d58l7X~9dMweV?_!DQa?FFdH7+JX)#|Rfu&mx(>3jlOOr)svCKguxyzw5ph z<0bxdo{VthrnoYfrM13ahd79n5o;o^A<#=%8jr_jditMdSBFYHzeHG_Jxnbr&ySzY z32S;uYQVT+XwYjI5U(Vo8?j;zc)TAu9@1&zt5jN5%6^u~oBcLhqMr}=`dHDv+3PKG zGjeCj2oP6x97_mvUIb<2hs~q{8@;|ALa;`vTasFaBB`D<+>6|zxuQao&>YBZqMcE3 z+xwR_t?LDmrH=dE_Nq;rl{ti}_53J|Kf0Td-`SfG3UU-gZQnSa?Ja<6+$~M;DQMi3 zuTMZViw9w;Q`XQrb()F?*^VkxiUry^wOC=|g7#Jpt>BAIp#1W zzvfr?^|A88@aW1N4F1oWiy#N3gN47t>o-u1W%#u*6ok54U;3;eUF)1Psi&pKMsOjzA_bkQGyYHs< z#$9#4oZ+{iB&sdN9qHM*gE2@ElAt|2zLnGm7Z{ zXofWeOf#jj|7a$?m)sjpL-HTXC~oHqg6RKanNn6&l3uI`;4jS}YVcB^nnwPknNWH# z&9F-SqnSP|FwL+^{iB%>`oA>8D)o{0Mm>q0+?nL6aLYRDFT>g z!sz#e)%WYMN_iu`uU9Nilo6N8LLNz8g`;TMrn7TY0{`uHkTn)qE&dG^tR>fdKh~Ae zks|qEmCijHHWxpy{UJUJlAYX?+Vh}M3P@V@?n^>%AunY1Fz!>Q`Mvf@bEpZ7UZbFT)vIxYs|c)w2AV=*2u zCe?q(PdEn(zppP#5PVp|Na84m$2>Pv;qbnwr>3z~#iZF7`dpd|OR68_0>c%!<9}jJ zM=IR>ZkNcWxBqDgX@eEDp9J}usQF|M-SZd|)j9DYn+RdkD)hWnAsJ{@u z+G%+2{oa>Mw&@)&ZAi$mZxfIyLDbF0#eG^fMXSh=O2kyFgqO$~3DsO$Urqr?vsEH* z*cp&{P^BA0tjn}&m9DuQkvRH0-+sj=#D@1MSM{y5oI-s|T?ZzJNJf^+UQt&(C0$KYC& z$9~8anO#aeOnMT-5}>WTtiK9KxL2b*l%a(x1c_1hg`o5rcyb;ad+Bxb&np;o^m|@n zNVx8WLaW`FKA17gI&o_yitJI{xpG4Pin}GaI*OAMRhEo!nIJWbPrV-kcez?=^z{&4 z>Fx_#0=!f*{q7$&hocYYaS^I?g{tuTacrt2f7sv=xRT{KUh%?y_mBdZ8DL@lG(q~S zT=2n+0y~mUtN+mqDFqf#3=&7SP>&WFB>#*5dl$&}BRAk>>-V4WIr-(4;HW{9A#h@PBS8dw_}CWvn~$Bi9gAe&exJIK=`{mh_cLteoP+Erntv*HCGOV7eJbl4}Q? z#?MT%vAJuoO?Be-NP-&L7Kl}ncw`-dqOtI-=r&EN#h}eMqKxxq8UUyM9@*jz z63Sk(DanhH_B~rtJUSy_sGmgGQXjBbyG7Z;kiEr^tFud9pWII`koqBqziecuQyN2=Wl}Is~Rj%^T(cy{k%VqTdMLF5{>^{-)`o1 zc=>N?ehCTvUghmcS>n{>B@cHYpj3X(bmFtHfO%d%hh~9Yf=SM#NIL~i!R3BY8#U#6C-=ztXb_t2hdC>~C?OPYgI9L|gFCJad?IM+)$WiDr(IO{W+orLD!zuSF3x2#3I>6SZc4p^9)z`8d5TWI~(5+py>z!!x3JG zVm>aU=?L<{+uTg0k`&Q)p7tm8z|`!~P_Mi@xyes{QArx(<@!2pLy1tT@A?0nr>Y7t z%3cOiv=|gn*uwfwC#(FJs%LHB8LTi?Yn^Z73`#3i{fj1>+LW58DT1S2$@pF@ z175k(Hw63!<1GN#9m4!9&SNgQ69H$g-n}k`4b2W(dQP5zHy;X3#r}x^I!j^cm9>Cw z;4FcLYQUf^^Fvo=XN)i5`#=_-!ppZC<%FRZ$TWM4gZ5LtIQvRU_Oe$M=2$xSJVVF)2NB6!*7?yU`cLSm){7( zQWO_nnua4_BYbOSh>LMO<@QE`St=ZZX9LZkRhdCyRhTWzRr15YL)fManK-TMJmo^7 zEBjZ+s%aL->e*IZ;Za3ZYLNujbERRc!%-Bw{h#Fs4>Va(f8LWLafhvpBSBZ8OF)?q z$l^DaF5p=|=yD;L6%y)(BtW9evo8$LG5j`<`KlJg+BejkTec;ysN?fDj~WSjp}4Y@ zy%u&bTX=FzB_32&h0CNnna^yD6kBAZB%7_h7FMt-`?ttX5T=k>I*Prmdl9X_z4(cHZM44K$oiK%R zMVb;Cu)_d)WkZ+3g>nzco=KrAdwZ;Jui7tUWHiq0QJyaYp{@nw-`DL?!>V3<;T(dE zNQLcAqeO(?Ryx0Tt{4(4<`*R-W;k(A7K|YWxO(0Xb3>qCU2Gpsw5uQU06cB$yRTnA zbgpmsw+b&U>i8mlZ|@u|_u*1ui>9fZfbKG|LM8=PHkR*AO24{%uZPA6APn}8NV~{t z6kFjcQ*`yO{}E&Dc(NBi5ya(V6fTo@AMJ_Iu9r;SXC-U}`2*D&Z?f^cOE>;agVQT8 zTM2R9O(bPXV%L0*g_$3P2k0;_j3W!n06#Lpr>87?qsyH!iLd$Mys=_^*Pc_GMmMJ= zCs5I(h=sMm$x_C(1yWL*804^p(G7);p)-e4n-sKAYRY=kJbi~Zg^mfBL3Cx+2U*8H zWw`!P#b}ap7E+r?70{BK81((B%6!v2GS=^>TYkcefi$&m#uGk@0yiHL#nH%9u>CoT zanSq~ve6{yb|p87ioh5OYAE!-p=E5q7+Hk>!SHt+=>Iln1F0+DjPIg@^C(0Ug{zK4 zcJe9zO`44+$qP!e8&W$N}a- z7nbuUwan?mxltLE1yyUVU$V>Kjje8UaPbT7m)`7wkF9)}@e4#w_1M$j?>dK{|py2s9{H8hZiCc zyZw_Z8bLUle;!>Me)zqPXV~d!PL6qg67Coh?>JAOJAZ6b=d!VEXQ)j}o-oOY;(%wG z=UYRqL6WhdD8s?=f$@-P(sJ0FVI`V>E+rW{IW;~=DZ>?JCo*)R>MIX~9rqcwkAbC0LwumD4h9>r z(!SK&9RlIW(^qUap2Q6=13nb+#+l2h7__j3#fcPPl=c8_!^|^Lc%6LZgK(wP0BNSy zpO49+NCnAr7uV#y89o^B0Ek5G&<{nDms-*<(nj?%i_7nQgjNPd5L`)d=y{Pk!K*cv z-+IP}T`6fC2{`H-UkICobA1)gcoT2q0!xjc;F z!5k?iQNRh5>3@!@Mwt?f(tW^#gBi>f(Xi)4(o*6+c9wZrNAr#gF0V z%UvQWUa8&ULxmNnP9L0yYIL_opA$S}5roD?sM!Z=a)450`Hj7gTY?j2Cqa(r65_pY zRM`s71)kF@t)3M!9s@y}4v;Yz5^bJk1!so+4;wGsyM~d7j@DN8BW?S9mDfb`-myo5 zGPhs&Dlb60$P<@#?Q*$%LC(8TZ}IUz--lvU&oYBvg13KI*9>pI>ABQSaH>=`ngu;- zwVO(hcmvq!kp8)r%FU#wG|8xGi(#j$&tKUtrky_{Mm9f>=GC?YLigLaGATPwQ2kb= zd6G%DcWFspZk-Kw$=^>4Q?Q+hS$=O_26RvPCmlM$yPh4{J(&qQBo-wf`dbF1p?rI> z``{BrG-oz`D!_dSkRU~BSo({W2%n9=%C~9UayDy)Chk@P0>{l5F(mL6X>CC5WDv z&wQ&d5uCU_XeyGN1fEP>-Fo#BMHH?qwbI|qe>s?3a5uPeq( z)wTN?&o|a|p)ap&L~Q+R{2!cp7WJQf;$X$5S@})yhz|B3008(2(B4*wHVYkshp&ba+2rkpuoF-X(sc(en=?=YiWGxe_C362-ec#!~e7t46y-2{?k%0gueseH2hCYGbg}e znpXOsn99$B#T1E<8*ywn33QV_ zfB2zq1&7P;V$MPMx@YT)| zde7c=k%daoI#9H5+ghHci(S=YJwXnyqFr!u(S zqYUJ=UJ@Mi&ZYe}u!oK$pE1zl=+JT_evTD047}xmk{cFTh0KF?YPg!zR4k+bsh(Kp5a@n1xxV-F7qNUt+J@?QxA^v><@oD|wqBaEv_r@6y;zM#vt3B%KSZlRBRI)z0}`j$>TT)-U_OYE_?UTMKD> z+m8Vn5fd$-RW$4xuleM9ejBp6ZRq2I*r22@w%u#dbarivBZ{^&iX~pG%9N}!bN6^# zR=R$wP%AymZYka?WbWoNKGemkpV#8)xVwYAnD7lBQDN5axn>gI1`0N)W|^oB=@6cg zeDfhe&C2pGRO(!Hp>VLXK_& z3LE*$XMM(KlZOq3=|Nc(RtgfvXf#PY>Klh3gk1+)+Yt1*oX}=8de6NK7d6rG!YOoi$M9$;s zMXS&ixICxJ0>7F=7akN_XA8eB;+uYqO)=UR6)r07KMlk{XsK^X{@TwVP&8KY01i;r$e&tRS-op3Oyl~kVzWYaRwHYV+ zi86L-*eiN!kUd1Co4u`Vf_ zyprTxD43Yy<{_`I=|5qS{&{CyO&}S?!-tu}8TOjGb|~7PM{#HQB`S6~Gv=$0+vUFS z#RQ-`v!e+=-2MZ=&WR-3tPr8`lQ-QCpIiBOduaB#dIug_{ore};<%us1FSbu zi}A^#`9gj4-)*;Xo78{godb=hmnw}b1Uv>HHlwD^5$SIXvNBWT1XW-MAN;c%124&J zI;G;zS9LaN{S=(1Iozw8-1jlUP9mX=?NR`z69Z^Zc;RonxGr4|ta0cJe2am8`vF-_ z4qp&+q81i%6o?wYo1B1Xnj_hQ{k6GhqZ5PcsiS)OB?J>DP3k3nH2CJtPA?L^v>oyN z!vBpNAdRhLmMG3LEkEu#XbXk+hkr~XpD-ISx=HOcazYC2@CQq-4CVDZTp-qLdc|i@ z>VK1X%l%Da2d)a9YsH0SSeIwsg#{KN8~i6HIOZAW|F87DdfIwR-vxRUGpK22-|$7V zeyWX#>1d4TdOo({PfX?>CvQfln;QnQ&98qjRlYiqb5U3iXLj(d(IweKYky^Z{GvcKWn2CAZllaaxYj>YD%cg9XY`Q~KNvD$Djs}AqLdE? zEFCp-o;hO=a?+eRA93KSDrz5a#5x^~|7?!x(iDBSnw+$=TW?a?3*WG|!mu2xh%Bls z=Rm=F;3i)}H`oCm_4*=yt*LxKOrGU{i10a{L%9_P3r+G{rcOk@sPi;@$HEH8b@G_= z|33N))+9Ra0|FCHeX$2|z9omB*x)|BK_ev$=O9=(n7g{USeV-XojHE8L11SmV+1BKs49?WA^OPbv8!d=eIW>p_HtHE2V+tP6PQ(FeWy!@8bVO69c z*LBc^Bo5#(@ z_>h>bS$GtC1SsgUym`|g<2+}<$b5NZBM!eNc`q@s)gTEs)JOT%;i6!aT_iaY_yn?n z3bSBm6=b-G@OU$uFANdiKW%e}m^T`>wgySxcf4WZcr`Km0_goIO%*Bn-^Gx+tPmh& zI52tFei3=j^yRopvfJ}M)S^l1HzxS6L7&o_wPk!0(| zTZtFb=P=Hzot+=yNDLQ442yoUiOB5w#SlROf6Fwsq~4jRY{J`?DwJo1_k*W{E!qW1 ziY3)j;JK$Abe0<&Q~${8a6eX9+&U=r%Pi- z*f2v%rJf*t+_Mu#1zAd08T0=7Qx`qO<=uj03sW0@<`1`CnQEvn>_Xw}9k?T~_L>tb z0)hDa-|UMZlGaZBcsS@6ZztZNj=<8okA99xmN&u6r~^t2O_AW2n9vw@ELn_2;pO|w zST%aHZFNX4T$2pPTk1x9`7;or&HfNyFUVo-$dCw;d0EjHoMZHt#E@)KbazBDJ^X}0 zdyn2vl_elcjUe9twF3(Uf|?xD_$UqQGapLSjg*^Y1(aC!@ttIFDCn4*4C_u1@SETd zbVrh-fB=Z{^#VCBRk+`@YAr|Uu#(eQsWCot#B*EJNs(t}s1u;G!S3R%qNwgK@2RW~ zGhaDn9c>Y7Dpe7hxye-h$iei zz04fff~tE&>fyKIk5*Mb1J|=mhUtW$`f}l;0>l75I?aBynJ1A-(X;*e z*NE)-!@=T8)ddwWRn}K_u$M8dLAeF4)7zT;B@`XTH?yJ!2_i7|qkrc>kT)Ik{%AT?*UqWzqi!{~b<1^fzB)t{O;TO5)Ro&%skK?J3 zLw*9z9dY7Bsc!k;ul0y(&P-`a%u9g_DWn4h!14T&6oXQp6Fnal3#3~|(~_8%+_ejn z%m`*-tGQ;&CX;^V@>V31o2Q-^b(hLLil;~);v!kR#~UM5N4e=B!m>(4R^rk$OtuuD zLP#Zr;{H)2*;B-73Rx&fhc>S(GpFXRmJ6ItsrR06CMEczenz2z<>XT&tEi7J4?H@C zQ*oC~__F#7$~m1Ai$pa!7(y<_U6NR9@%K*@xgb`FoA0?p+j6={H|^j|Hr13z<*hW6 z9->%bT16&y*>uo74EX7;uByC;+>-OETxxu)qqlwvLMqMp%QZu0Ok%dVGf> z(MU~xF4qG4Pa_%>3l$SJsT8Efv!F(>r!&y0%a~JsXU>G(meZ zj^KiPc$LbG(QgG~M4?$!3|?;3UT{E5YmDBz(CU6`Io=1X0l zK>YgE!0xUrUdrIoGJbZ1+#MR=D|ZSEbt4?fxh&^Qo8Qoa^0vR?SR)bRlszz;y8RsEW^@f^(9n?&U zD4L0@yPyEea`od9>fVLD>UJXSyOHrSpqV)^sz2JP7ohYmbB5Ztim+5 zMEO219adOaoq)fAFy!WQ0-<;*Tt*vqBOsI#gyQORBg8AzZmf!efb9;RC?r}we^FNX z$6|mxzYuXI$;RQ~{BN;>QsuI;s$-${*;&SsU~#n^WtFgU87H5Ayj3xJc$jzt_a9CY z3^Obw={Y>a-W3Zh2g4-hD0zkaGX-hl=XP)0=zXBd%5)N8p)>h&a+%fQwr*}BufPv{ z+3YO!(RNO*krE1~?2(I4E3kl$dVX_5KOz=b4345OtHLXEMUtHx2$v8Hp+u~#Vj2B& zS-ALLLA`P<;2O|w@sw5a%89VTkKBA(C10v%F&+5QI^co4S&58yTt3;e!PJ7?Oc2R; zj$SQeX(+$Q&z45>@L9EU@l~k!)=GxeRL?_;59V-@W&$TE2QTiwr@4rsC}rG zXx#&&Nv_7dYnE_bnZcmP5odL5$Dum~1Y5Kv)y5H=I^@mJ(YB= znGNK`7^O16qW|4l_XRY!p;$ViX;@U2p5v#^%l}Qza2deNYM|O*UYcb4>Iz|p=veyj zr*YV)5_@c9CNoL=qT7~3Vi4V+X~}--l7ZA!%TDHFJ@Kdm78InJenauec6yVlhAQMv z>^yxyC;#y)b=ZQ&om~dQ!m+VUTlncU3;6;)K@U`>lYcF-hdgvk?m=?*$(SF}hftD~ zjliPBWLyAu*}_J6ps7VkhdUz0acF$<)k&rh|B><4 zQB`!`-;^LocSv``B?P3qB`)3FC`d>S-AH$Lx3q+GgCH%TbPEX5yo1m4UB73o_x>?! z_Ut-m_Gj;NX6~6Y2sPfYmfx`6o>nA(rKPhvOlf~bW2T$lh49!*lzo|UUFe!&QH^as z###1it66K?>i9B!QeTyW>&qopTT}fbJA%B+g=n7X%ssi}8SmJ?Pbt*@eN5r|{~uF$ z`1tvr_Syil4Bmg|O%6G^U~b#C)YG6whxOf=a2jYxkRLc0+FD{#ViV9@)=Uz9S;n<` z{l`ldW`&PtH89HN)yp)Ew7B&9fWE*G(O;Bf6IySzuJ_;4x87eLjV5#nGM^=>>1b(q zUYw1Wmp8OAYbvQ*v@vM0vuhC?P}wO@CQi@Z4AMUW70c3D*?3Bg501B%=gSuppFg-R zB^``kyj?~*zbQFdt_WSZ%r-2)cvWJhkzI5CIJ(Sr(`8j6(slR1KDnfk;@Vh!+q+%C zHESugoJO7fw)s;=n}Ly|TSln+^3lZS&t7J}Wcy<5tKU~LjC@<`>qd`y4{j^|v{$q* zhemxg0GbZo*0^C5Tpec#4T-$haI9fJx_!KAxV}Ctp)YAO5NTuwK8YBik>q3$WGpM3 zkGccEtVGt`#nx~QeZ;#`RV|Ad3kSoh^zz}edi{=0{?;pgBZKLTwVJca-KE)3O3#LA z9ht-HeNR{4vmS3Y-}A((iS+71p;;Y0&EmLhU_s!!UX+Nz>dM1>b!i_*p)eDqX2sg>sW z8i0A^-hn6RO$c2@>G;h!d&r|z#;!l=k3*RF)&K~pX^2zPzCzRbIJ;v#Dq_Rkxs>Di>^H$8P8d{PM-Qm-Oqwj4Co ztZ@DH!*G(!@}2C1%GRF&7q@hd?)Pua4 z=ihnaR(Hfv%d8kc&cmE+Q7!C9GUTm^h1=77 zVkTa4izK8ehEOWeVHZq&ATt3fh^fnWk32+av$)d*#W%%KZ6Gm(B?F4Z^_(=smeAKV zJ4r5upCf(?5|}C`c=Yk{Sc3ORG>O};GQyM$*FX)=U^DYT{I2k|KXDOwCz?1J(s`T4 zKbLtVz0y&aF5YGXn}HMlPcs5WY!IiXaW$l$}7AI8un!sjwXW_w@hji8wj~d(F9ghU3xI7{Z0sm@~tX7Vc z>g(Y0&S4;X4F1Y+;62Hxvzs61_~D=2g62P)W^Ql2>h9^%+j*3VZVf^rh?SsrU56%m zh3^!iHMeP*y@3UM#!9Fd>)Mpd0`rkW6dt?HlFn3|_G#~c_G!pc>-cgyo``)E-ibAD zQARoec#1opUagu@ze&DmVpjP`%X2uj3ffB#Is07o;jihBh~woV1Xuk41_^b)0v9f`=J#bjM&-wq>bp~#6QpC7 zSG^vd)Y8VZKQ&>Wep2yzy76huZ?2CEYZW+TsA|XdyEKi!G6C?U<&A4;=t@cmahkMEiV?^ZOr7H+ zI89QXwHd-?(2DVE^yk)oMUg0arwf`#7;cd5yovYgkkdk1AZGkcNUj> z3wIudaH&AC)XqwdOv2Hf*zFG*es`_75C~h0OQ#s%oE@3vl85#}g7(w|?%S#x=rSC( zw8o57t4e^FQNe~ZC9)65OvRpe@<7s^b7GaY=QNGP^173*q;i>^jmLVAwHW5{THdyg zhJxUyLNwvN=in<-s;6>f-3J4OqoxxB3y`=p zDkUw4DOCa*CwLk2>9Y7DW?+Ccswj9FJ7JuI#=H+x6#%bOEn=F1*TtU16aNwab{d^J zWDY?^CgS9d;p@ao2-6Rv6r&DF{rI*|l8(ewaVm_m7(rSwsGibyS_-sT1lmk|@z>Qi za`P;0&i7f`v#EJ%y7b!+CwjUXob!*t^Wk`rczTr!rv3QLm)ezt(d^~SfE2SOH5Rkm zf~qbv9n6s{0d4V7!FX%#*XcT0D3a#>!NLE$!fO~bGQw0kqy_rrVxO#36QHNi6EJ@MgMQ6F3Jy`mM&qk%F0Qtk(f=}{_FiY)h(m_eugpB^! zU`Gy26}7{I)36yh!-reh27;0ZcRi64!CvVT1op_YpeBijBdaL8anhCc!Kk6o(sA-H zZb_KXBIc?wa3YTkvyr1P*Kt5W1_%H07PS~HV%QU7c$h5*nVAy?)RqIauT`|e0Wb&T z6$9#14X6HV*ljNO$-N}#-s@kNo$*Pg7BXKx z<7h>+D(XiJGxvWsV`c8gXKk{slZq7bW!0SCEfyG#SPWw6M{k$g??WI?G2xpE3-vWI)I8rF|j)kS(3NMFZLO{ATmuVf;pEk z7Sz~}!5=mu%zl7A;DK2&Q4~NH<^6e1asBKab_;v>prtp3btjA}+$k;AV$>UiMgj_X z;|?0CjMMO*=?Zc9tcrcCq7;}-h%hDqHH6u{8c=|%CnA4-!v>Qm>MkeA+pAnH>lPfY z*23mLWZ_L^-3Ie@zV5Pug`|znzOd1V4SPnvsO56>^;G?(U7UX8rY_CA7DcOz9KGjh z-8CEbSN%}Zq6>YvDMJ%ZD*Lt`S!C$EN?h1MeOl_Fz#&uJQG9CVkg4K=dvF?{_M9Dg zq?H9RI7th{>N$39<|LS1p0I_uOJFgR9}VsK`BZ?6uoD|}g>l`COiFRj6pWoMM`Z$T zUSJmeGQy63uP2PdYYf8_3w<^bm<8_pX>KzSLAbhH_fg**Pd)`y0N|$Gx4v2v2?-dW zFsPao$;7wqSm^{j-ESxLliuE9MaM*gYf%y!mmVa5)0C4YZbVH9)s_1EPp$f0o$W%i z8l@)!pE^h8xpS>bT&f-B0q~=6g^q)3FZ2BX1B*8Z zCvWzE2qzzRo_TpMMv@zP*f>{E8bw%_*>VZ<)sItMr9G;b5Em zw_&4H&`1d1EVTTisac&x1xZplUQ#w=lXLpSp6ug$N@cuX<$}Ce6~%3;b@E*8t$<-t z@ud=}e?28S9%jW8N5Y}NFo~{RLujUAdbVl~i-F{)3T)ko8BU#TISF0`^xV5z`{>2s zO%9qi99MX^YAT_r zU_OoRnzMzzn)H2Nlr90@_p8N$J1=(I!*z;|XQpVS=f15B@)*x#{A%k;DsSNZ?#*k8 zBe8M+=ep(egY`ET3D!yU+22Yw-C9e$@a!GD8DY}Eavr6Gu=8|0@Y_&Fs{z+lMgq$D zJ(;b(7!61W9&Z_%O5a&tIlkGyJQ}UYIBGGFxjrQtg9>cs`GY&>ARBqH^1J5QZ{+y| zPP=&GP@GsVaaZ_jWT^kpbu=OPsr0$k1L*Kc)hCax->_*ebN=k%QSm*DZ4LJ=NA{&-QN=4Ww5l!yOtzmm~W zgG9&IU){vp(%*kwA6wv+?`vs{?F~rjWq6gVy>g?Riv~V8n*WF)koQqncGQa=jj|#E z5ol1cRXyuGZ`*2j(UScBK%k_qA^upXB}|8H-royj^<(@h;1&CX$j04Ocu|3e4x}d- zss|nm!4z+-d10p6hjGn3#=#O9G*Xvf>2;Wjq#=eL|c3 zlLMa~FAQ~!FT9?*uQs`hh3&O-`Q98{{*uo?BImAxOA(~ogiw=fB4j&qutBaH$ZQ{8 zAF|m2nIulVJ2)}v(s`M5ISpe4`G`l4JOSUP*>LUmtVD$NQi6q#o^5{WACwxT7mBPU z9O@TkLv-ehjTsXyw`{qJM=gv_)e-ea-87N0K`Vs$q!k$@D*;agPE09i=}Pd45&{q* zV~O3O@`}o=km8rbvQrn>gtEvZWm4qj`?>xAszeb-EL`II$fzz)nz)L@oD6 z#8!DClGG!k%0mz#ib;K0w2f13oDzjA ze`8G{vQ@uQZR9ApV^ZNVKKuJcuExAMnm4gAc^z_bZ|ChT^L%Ui zoqu0Ec&*ihjH=j{341)fcW_vO&&m1xeC++LYTm&@T#o*(--iv2Onts4rC*fQ=kw3s z0JF%;yH&<${KlTLdsm%H!mvxgrplbn?NbXiz3am138g z$ALv$BOD6JynoVpvW)V7mP@8(X{pQE&&7xxxNhZ$l@@c3D*|fee=|f2Z%|3x`jB`g zhvcLSDi+l2S$#+!p!X$)Rr))@R5f3ZNw?JKYlz=Po52 zv?!Tp66!g@Y&9AvK(;1c*y^vOW51brm1z`A=T14dxpSOX*>jF8y)_WnQuX-Lg`lLJ zZ>4`v7*eA5J0|~Fvc!(s(y%CYMhJXFo5AzDtWU<;n%WDA!{##xA0F1tk#h&Bw126A zZ{j5{+6)1>5@s=eozYz4U6)=J#>{G%Eqhk8^0=92vLMm7Y0es0o;&jl3KEiA=Bx!w zc{6D0v`%esvxak!ayS74(WLG@c@^b32m@Lco1gr;3oQe^9Z_O{GJ$B(yEg2kgvKbQ zP-TKK1~onde_M7tAEsHck{6{Q6+SYoD-Fzwmqc0u$p4zR&Hb-=V$l4>mT<0L^?7@m zg8VIBSZ{>dlHAOtqOlp5V);a)tFVVY-t6~;{(olmsi^gC0 zdn&R9KT!#f@J{`kxq^<%L^t zYeZvd{C4A4hwQWTfG;?d*GK2?#!?5MT!<10;-66XjUlG};MrBi3s~ zNAy3uu8K%Att%+Apu|~3eci5HMfF0dFu%+e$~3+3b;2crn{Sw&isaM@Zmn_KiVosb zx(%dUmclAo#d|!;w=wQQr2sjXQ~CM3A9(q6rZ_ltc)qKCya)DWD)YDv*{?)%Jz?j*;M*}I%APRK zZn83+<)pg{yHQKFt?PHiT_j60J8|y=d3XHp>jW`9Is|SGUdTVM(DmLg^Wyuh-827Y znEZx%obtJGxj1F-m?q>M=ZTl}k;`wK5o7#i3!KZjks*U^@3b`gRUzx*lQ zT@70f{qz;XH!_Ml+#xurHvF7#YQkKRs{8rYBG0C%#n76Sd7%I2%F55st(c(wgPc{L zd0em4h#6&~=Kdd|q`=+ya?1QG@r12c6-UTTi3VgM`tO_cYu2=mS=bHJly%JV$nahO zw=WVYEIssdgacWNSLSTm7uVYF-CCE{g8gQXeZD&+hpHU@uI0ZGEsZDADiVPaS>G^e zaQAxSyB0d`b`S`J6nM5?lCRE_qrW3-6AZ?I5* z=eMGwtHUU?x^Z*$DUf2~`s}iHx%JoVLps~Zci}phD)&L&!Ht=l>X944R^?ZnGjB`F z5+USHS*sx*eVP}mj4o>;Et7Bs5P{Cug8iNE(OgK6iM^L3Gjk5e40H5VcB49C7RmM{ znjLp)E@RAcQi+NdzPem?H?d>-|=<8bo1J_t(`>S-kOC{Xdz8g&*{XCi_0Ull2 zqk9<<8s9OgB9l}{9{lvJQe2eBG^!}BgjVF?%~!uvW99FqwJ`6lDDq39oF5 z6FL(N>kLir|l0X+w1pSH5qMlgZ^?_gsE8eQMdCm6XR(n0^W*Ns~lL) zB3RYEJx&5a9v&BVLP!{sAH_9;QbqXqA?`qLM=oDQs+u|*al&V+B zys!e2Blw{Vjq=P7`^{j$tBZ82o9wzOZ-wtJHMD^cW3ijs*4IM6Go(#WF^@B(p{JMT zh;21wzOu`u{>oS!@kF6`y#uWoxYY>3(=O9Tz3Z1h4s7sf=N=-~f zuT%zb1Va%WUp_N|u=!}>-I#l3y~K8Y{-!)AiTYA@7j2Yj)XUD&ghfu98##?P8MlUy zC4G8Hen2V#$KQRB3p%pm8p=ao^=Y289-D>yT`9kCi;PGuu=|7^Y5oy1mjWQ|%l(+jS2ewiQPC#;6zQQf2 zbQ%@Xq3b@%pv_-zdyekkCw3uF$SuxCQ>ZAU5c*D!oy#d`VfrPcW2so8ZFag)VyZ9| zz!O6y=Zn%HgOkkq_f4J8dUggR%E*zx$Ig!cRThJB1w3 z#U$>W!)_1KdoH(qyOz+chB=aY&ezGuQ_AdR2u_tj2xAQWesg&ilSmQV*z- zowL2O$<|K2FHzJt;#(V6YGF4~2uib(bvuYandPkAQ-6I*o{_e1^8QhU1cvY2O3bU+ zqDA2>e$nBeL|V(dk6c1;AE_h;Zz$(=86fbbf$Pp&Hw!)RoL4Ybdl}yeWf9LmN2M?= zvtX4pHKT)Y?bheZ$&_OO!}r^%$2#DnzjXKaT;inhLUJN^qo5akCclxj-Z$9}DJJ^9_~L^I{Pt2)QIy0*@*`fO|c@7rciPYG=S!NcCw z<-oT?whbb5(Yd25p03`W`X_S^>ceNfy`Co+Ib_vkuN2W+UXy&%QoXgT-hZ~lLY~+6 zgR#<_F3P0C+n_)&`;Pubhwlbc*-2l5`lQFr3wAw$p0t$VrpAZw60>YBCxHJwC zva3U>vC?30^GkBphAPeh$K-Yb0C3bs1+H2|g6=c!SGMulY94?8Fu@s&yiJWEFzSB% z%`NFVsNf^m_sq3L=e1NgU6}imu-g=R%S<}zMlF=G411Ja#n3x&~Ma*5BRL8Kk~*YX?{-Bli9E3eTjt+Od|ExBHK8+`a&(isjRNMEf`1@5pq&JpAf9zC3b_o5OnZ z4*Vt02FI33Q(k0(nMJ*Y7Vaw_8T~*r* zkBI04CxaQbP%#TzAj&wYe}1eY)`t&ik`~B{4BkOZ2o})KGD-aw(7FyY?c)O?VTUVD zlu1_$2J(2yx|fX6BmUwFgMQqKv@_e!5r;dlssE^>j-*=0Fh-7+c>`b@2o<>#l}Nmg zzxFTH9pDB)n5GLxenQF@RykQ@6@s@DYWe&HQ}$a!9QX zQ^YhxW77L>NZ{%n3TA+*;!2W%&eT=wTLANh)=K0eKJXCLV+2RmQ5Sb@cm>Pla`o6? z^Fs^H++n~L)Q<3B`A5E*#)xx&o5ivZb#SzotxK2S4CD7>5=KCg(cP$y<16dRBEP17 zcLSz-NUh%I74W>>3f&Z{_H_DPJOtx~sV;b`mdPnw)QGu9Yv=wEFNMvjh=q0a_$Bjk zC!1PG@dhnuZ>(&zJLm}C@lP}!KHFrTKv`SE`^uI8?*PIy{I{S|v*Su~CVl^M7Ipu# z_XpGkfZXZCgrU>b+pgUS`aY%QQ?0k#uPlzWC@bS>)$t{IsHCve=lEwTiz_8@10gg4 zX^^13!{W-JO*1tb3oP{zgQA9vdMdT&BdMsCBbcQ`iaK#mfF$O!cs_frq=P&XYA$QL zkZ2;h^U6XhA2X3tZYXMjk*39{z3E>P@#@4?LZmpCWS4kb_9)zI`5c^uezow3JQzV&s0W<>glJxG7{G& zhUXRA6WXGyYvxBFvJ~Z)GLjTOH8?i_ULo$e=?G>nc!$Cnh--u7w7(@%Lb(92{TkfH zj7efjiyX5o#g%@ugtfQ|1;wae(t8t=YV%TqpGFe~{LbfL00~MSqQcxv6ZHv zyY8ok^{f7`t6%+!v{891p3l8}x~FK`5mKt^yiq)njLgP7h%Eh7rHm4_4x`1NT zD~mfbr8K9dZrc1M3)0^FvB99&c>01fTB0d)x$A7&bKF;~1O4#^EQ@~+Yu)jx+T2z7 zv9g@kVWuh}<{Szk99b*zc;KGXA%$29Pw>$D2OhYV!yr6Z{(%Q} zgEFzZ1KyV{)7X#+PqC(4UTxyy&WRigGhAU}kNj5@_0 z&mck)lZhVjk&NxB^?wgtX^b1kt`1GsBjU2!g?yGHOI+i2BPf#5unKX6wj&{F6K_Z4 z6~+9EWO2DHhBO4a7?~-h;FBR(-xARzo#*BCPyLD2>qR>$xkJOQ|ox&HxFZI~2@!@2+AaP*0H163r-l(N&{wV*6EAF1v7 ztT_3O(}Fjj;mE^s*8Eu}VZvE1`x!Z+Ksz-v>~(A+ zl2@dNv(E(Ekh~xz-7|5cA0mp}fuzg;WpFAkvJddcF?~I&?LQ<_C^$5FiJPQ-PKqgQA!Y&^|(nNiXvz6s3hyngl~`yA}N@LoQya(Jx>I|zeLj$ zf%Pv@?o0DF^-BO=s;S~gP-h9bNxGPSQmXh9Q3!z47vNti4@k8^zt%90c(Ui|8?;w* zKf$@d{YZ1Z_4~zoaF<)3A^*0i`16O9#J9Cwg^D8>pWlvsTl3bhobUHEcslqbUPL?= zTO4HFby<5*yGpT~?XYuPsQg$JG$g`al`o427;!A?9$|aJ>X!d5wo8!KLx<%__$r43 z&k5oA=Z%0;%w`i-$jL49O^a|Pm2adlqHNS=V)P%&D@?v7oNhNvYQIO{Qml&h$e(&H zm7+Wgc(vs4;|jbvj%+%fZs|g6on7P2?5krJZ`B_%-)<*w+k~Gcf6fr^^f}+i0M_KU zBbOC^jSIGZ4q9e!zBs#05m_ucixh}ZPahvoO977MMJ$=-4^DP!x~@+L$O8pFq)g+Q z>OP_;V6bQ1J@jU*-8;2zG0Kp(OBlB@0^6ScIFF)h3w-JBNX1T!7{5qT+^C{n2sNum zD9~qiRPia=RWxxt@vhFpDnIL|VCn~4+tg%I^#r@z{dY(z1IbwIdxRR&!aK`yDos^+j~uAYBeC{E)|Qfms!aEq4H_RGpW9`mr`M!`nHu_WL3IPJNVA9^z=D zwnKG)`39*;|CvKVj7e*~Ws;D=Ksm@cu1(jE)EIo-!}Q`KAWyCwm+Zp#PF*vZ$vS{9`#LGH`n?SxvxW(x`s0U-i6pu5#e%YQeTb z8QO;Fm3JO!dZH39b#gMAd|o>GUUw(8kL+geXQ>CvMc-$H)jKDrZ-OY_%NWU)2YV6Za@*!>xGj77;$pT;WuQt zI4odfza+{@ns*bbk4+`QmOp+;?0*boY=AE(wk-vcI~g0oA_lz58F6YsCuX$PBQVJ! zj0v?veomFom592hIV`a8i8wyucGnvTY={Y-i0d=G1k%B29T-$S|c+Na@79Ih6()4;0@9B3hI; zOv3`8@Mt62K!S>Lhb1eCZEGzHhcS}_=)ixl!_2OuxQTkyrp&1{(Rj39Oq-XMt4x_= z;|CL02K|SD^&f4xX>%9_i3B-qFhc~V&7U9e*wu4yc`S0L-BzXB>okgaFqyGw_#$T8 zzfhINwxIJC2HXXSPK@bCcw^l$;uR7N@(-~sQW$OtC3P5)yfK9qlS^MGN~9mI##Gbx z^0{C4e_(MjH^@E5OM`*V5^QkF#$FiZoZqK*ODsjgj!%7b4d>oW^^=@9AR4wP9RN2J zutdet*y{g)zutetjiRgAO}X6fhn;BZL-UNDjv{W~6p+Otu49Xj!0c6YpX^VC`)1-p zhekrj5w~foNY+*>oDhP7lRET{lMYErJ4dcJ5LvOXnJ^(3ztZTHwJgV&i@RRbu;{Zn zt7#5nIGk|S0d9%MS*z(OBRCwLDl58-yt{o!SquA^i@&(RJaj5WDouZ6Ul=uL=G%5~e=yhW!ljwqXa@$DiXXf4A6)v4{39+({PIMTw+T`-R z0dmmIX1=6AvdT9_6g>!~Os#zJ&zMkQ7+W~P!vGcnE67v`EYmYmFnM-=Lb-5FeVFj5 zLC6{q^g@UPIQ@z!`)OFF4PM&$>!KRleBeZmj3OA6pNfKILF1t_iTnLi8*7A9MZoXZqag8&5+_BQLi)vr5Q`MXcEv?{lM(UE(Fv;Ti8pa=a(Oe?Ii6}f7T8$9#55lS zM2rPi62qTHvGH9O-``AGjw6zV#I~vHdv`GMUlPNiJl|Bl%v{6Hf+Zqgi3$yyFN+*D z>+OhS{y#!t``+qc!T{hP6vqe;eM;i;5pBBmBsF)a)nTJQz4 z$W0hiAy^e5#4Momawgv@M&^#Iz~ah7$~jaz%3wmx)ki-yoaOL(hZYG{P0g8?7!mbn z2m@bU7Gjp{XO2&ry?)b#=Ds`p^V2F4`MJ51S4_IBh6IpV{+?ST=7@Wd_j?{4bL3t; zhHbs`BrYOXtT@PmfGie}rRBN0poZUOmTa%Vb8yG-XNp(g!}q_PdISaEr@(3L++{LESm2uMqTdDq0*-*S` z-0$GWZ<-G)nN;r1*(QA=sMMbo~7npJdwa`E_ej$R|AoDzMOKRIX6 zEyAAUIE-mby$J~~jg`bEInOqP>j;nzFVO`G1?KX=wki!;=CEQ|d^f&S4HG*O!D)&< zqItR{w9M{$+q+iU$rrXl$y$Zwl*+UGsh~x$DaR`D!DrnMxisM3#xUiUeCj(;-{d9a zdWT90F-^zDF)W zdAQD@rNB17lK0$uYHGXj)cCXjvt+3YuPMB7OOo`s%uzA7O@Uo{E0+X>ilbsa)n0!o zi;J0`d~dWIl%|6)$=?youAc)4-mrjiJ zDdgRk%4+7g9y&&U$j?>^KjDGzwy`4Yg{&tvJ|Yg&ov}anJf#3FcSl)aLR1M)LCWY@|?xR;^d&X;64q1P5omD zixHxI^W^)Cg?7 zI6~2n>KXuRzM47AlWo~2+o2JtqKpg>*B2`6rTyAqHN6o@!vo0 z1j8MHs$#U9=ctlelyuf;3ms!&(f-s+upC zVsn_kAuS_@Acu)(GEhl?@qYDBy!}wYc(29&jkh4=Dc*1Yi8neC7;oc$;*C!T#@qOx zcq0;l@dnSI;=%y z4{o4-{|Op6FkO^^cmQCe<Pa3x{ChL2I#~HM$pgM1E>2=GeHc?1&b*RPay6@~OaJ zT9yup^5^9Zfk}SrSq%A=>MQvd+#n25$YwAUM8MYl#R|v~Ez18ZX9|51yC`F*zc}Xl zpc6McrX82}N9W9!O$tQ3LL6WaaX6!5-oSdRfQhFQZT}iipz5)V+CYj9W@UwdI-ukN z{@+fUD*pQ9+xBWKUW6la4?&IOyy@IhC^Z(7A14tJP+AV~Q@Mz%{WjCcC zK;?H+y|gk*LbaVzU;L%(I)_pT5lxh!>rx8l=6NTMKMZ}T0x}op-zH)lo^;e$Zd_Di zliKYz5LI~ka{rHYQ&;azc1K~O<%Ujx1di2|t`mD!d08aOAp&I1W#R z!dW;$c`w*_TYHl7TB_cCIFyYKY*aO`xWcDk1hjuHH({U~VbEF2@ zVJq&>jAO&d({IX=U^8s%>JtkKbXk;!k%%I|?}Ofpio7|hT;N^O^1RU=JI=*BSAXuY za(V>a$M|pq4xM8Q5&q4Zz?q{!XiODf3T}Jh0&PDV<0VPaLR)82@Nr#rqDK?k_Y?bT zD#>5Isk+EZjUyHoBCc9eUOs+~6s!_)FcC%d63yF)wt{TvOz6gIoDq`Mq^N}0~f$+}3$k8jnP;>dk zMMuVEMf$^b_jPw)lS`0zvH8_@Hl$&RhM-f}n4!7&(Gt|xxi-Aw;&i=Rzn-M_n~p{4 z9vU82K4*9L^TV539aC8&rYJbEM)`DO%>Atyfnkv_9hP+EfgXW2rmUcVl|ZN?GV(=M zXA*o?bO$m!9$GihKlT+j_qhwq(rfr=7yw*sHrovM(9SKO?d(@yme#w5LQ7&ML*U~@ zu`Xe3WBL4(+toX#dS19Cc;N+DdF*Kze!bj_wSj4XWD4fNhYv(){s=K_xfbcf(LLMqmsp<7R@$9%>)8_{L>T(d4tOd;JL}g%@;o;$5lOVxZ zBf+#0r%wZqQn`ngFYZ#}PuWjp&H+OZ&y8gUGIyQ|b;pv;ea^t>yPYA%FV8gL+*kqNyxI=Waf7D>PJ*M=pFB0sQ3 zaJiqyh2Pz)ba*ME4@2JX0UPCTX!5wvKym;KuHVt#kkrBMK6|8agXx$e?}N=HcnIW! z{Y~{Kb%+Y%`BfwgS(N<&i4%U8_8}JoaZhA7P;49H#yIvqP?&J@A(9U~K7x$?C&nIz zDeM&UqZs)`*_{}59s!}nM-A^n zyDOu<8?2?NfwO{&$GE#$ea`}*8v|}#WL-u-0qBmQQhbCJDd)IFx(jo>#<&u5xIpq{ z5xE!nAW}`@neix|jIkU;FfH}Kbw0`Og9<=83L?ir#hv5d7*}z*qdDHfOs+^vj}8wafp2%s-#$9s zKOWfLEJQr6108mLqFT9>ha>sXlTXpB(R~G!(Cf(`=aLd(Yk8Z< z%*F4r5&Og17{|L!0wB%F`-Lg^z!u)Psj5%ddg02LAjD$%fu~l)S4$0S-3Xn z(k{Kmg@9PcJvq`Oy`!l7MgPcBu`PcoPub*WC`w0p93j)UgjS0vNP-GNV)H|m(;K=hE zQbkast6p4_rNf`_y99UHjEkg&e!v&dwQ+F1=xud29)2TCGBi(4uW#@f-=#nOqctzI zV9f+Uk@~>RIpkRBHKc=+p1XbxVOo~M1ewY((c&Gd!oz~8wrI0+w-&5=hUN!|nh5lW=!VH~^~t0KQ)si%>6rTt(^^wFqW@Ll5eX)%SG0veNh+}sYgSW@V59oLHL zFQu%pfkR&R>dZWpwrRK0I&~V4tZHHuDxdIYhHg0Av_hSLxV7x{P^8h|Vr22@9e&(c zm5=h)z;bq8!i6yNsYX^sQ($uPUH)r$2Vt$Q7YA3D<2tsqDW&kGTL@WHK2^p9;|0X* zl(mFGUN=K!Yv@Jo*J(eY(3WuZC}?BVdfeI~m3LoVnli%LOh=^x^*l@TYxO2XL*<#45O=3>~u~kyvIH}`(Tue$K3l}^5=;_^U*o#*eV`8PW%=!eGr3?YNOAk0^vDlQS z%P`u)IIg|?WSe(EWQH_IDW3KfYi|^iAo``-qT%0-ywlk%j9c0_LO5`VWjv+$xM+2< zGG>3FOaB!8+Os*CI{pnkbntn@jPHna=6S%)@-@~F@E}%^{&nNV$$U-8mT1{9DlYfa z$Anx$W#a|*=NEV-E-DP_Mul}_sfxJ90d@J4Cwz`zlWrA?}W>&N55s+u;-}j0@B}C ztoJM$`syq>)=`_L9lJX7_zR3!*`{u!2SO^Y``<{>WV+PytI^3EXJVU_Co5lB$h6ru zDjo@qxbfAW3RCseqt&0 z=~qsCkywWcOwx;ES7n6TZ1Gxm0^3i0_n+T%inTU!M5XHMwd#LB*)bz*; zu=7(;dE68J{G{i?pSgOuFlzZix+>EpRZ%n!S<&AkS0(qT;X>r*r8HJHm4xc3Va#;E z*@`M)c0i;*n%zB^z#~^&nwC^Jk<-?IQWm-HeUVrq;0%%D6R7`KtaLNCaHnAU{o2|} zW}a~OUT01gF`QZA@y$=xhw!BmO)ecl^(TmPAJ#%sWFAyVljSaaM?|${pSxg1>O_oc6+`Co<3Chob&D zE=+-kl|abhMd0k=zxpmlCNUcSrJ_t)+?|&4l&;_n&$Md4{W{UwASqH?_^0l<#**2F zP=HlwTO`^|yUz|N&_!rAY7Jb!@}3=OZyaaKPFg8OS|UfAJw9*kibLrqYjSON;%#G7 zus5$msigRm5aOObr3$eRLLub~kPT8jtmt$wbn&wpBwGZk5Ua1~@% zL`2#&c8@cS^r0At5&+*qdXW|G!t_Zl3WuXGpsCSvz2!18nR?TpnktsT3Gyz=}Px^Tt#JiH>r%#=-WGyL7*6u=j? z?waaS%63HL9&_4vc$txWI^ExwS9`USef6#>VJrDdp9gU%H=}+E)r91&dQ{Uv8I;hE zlgVE(o?mRH##8GWp4ZKPM@yw7yj&kRwysOks1RDJFeY>9uQ8=|5$AsGZ=D<<(cb=i z_$vZCOI-acF~9rc5|uH0!`14V?n;2lGC0U)>Dwf#BbOcl?SjtNLihM7TNuRMs%RBK zx2hcq=%C%)i0jMk(!LtWuRaM!e4|zC+WoQ}k=f}HWv_WYSNdYBTKg9fT`IZ%svas% zDt7Gi!Y?U1EVP`;nj>BR3sk0UTHtg zrGFsHc+Nqj#u-UIXniYHL@X0?^F?Z6F``7?l8xEJZ}uR;w0zVG2WVRiS8}MrraXXN zT?<@&{W|qve+N+A*YUZhKCp7VqXhmg$N%|NYjCuh`0*{fPp~o5fWvpXWkwxgF-4}N zx}!d_0(H4)7u5{bHhTL<1l5rJRSA5y_kaEd<83Nj?@~-E6c4wL5lxlO99zMGaR!Zi zx9w!H=XIq;yCO#`d&4h6UNg8*$k4_bAV8-pur57Ab~YEEzpdI7^*BSXN>flYq;r!- znta|$1wXz07_D9q=-W8yOirMJi{9OC8dgx!woW;p0bsg2ZduBz>#7OJ zEusPJ)NiMB$YE{b#3e{rzrEY6JX zB6ZCOXEoyMzi_g{@8vglPkU616s4h-X%N@z>e1S-TCT)UU$xnR`ln%M?&GhtE{Eqh z!h=f-ftt+1a#VZ9p!fko`z0?Ag0S`u&lr2e#m&uA z96iV5G+4zOlgF}aT>nkxh5+IRJV>W6%B92FQp@r-lI~1<|MTPmujph%e{#5Uyh6x` zIa1ae3NBduQ)LDl26?8T?|&!K{2}j#Sh(cyF}Xtsgik=Q*|4~f&-yD$jHy4TfFXdx zUQswF1P2{666}-HAh&v#SenF!0B;zf>w=SBS96kpFDt|4QWjAN3anUR z>7x<>rRHNVBEdHX%2by~RY=(+QNeY*4K61e&d+r_o@7{bET;+7{C{%}d~2{~51~`G zAoT_VyIS@zQJjbZWqsQkJde&_N=G59q=oj|k|w_t6RdH7#h`!3e{_qWa}}Ch8O);a z?@}pF@>`xv44$$6ce%Azbc9h3pEY*MAQJFSvySy4yH4voZ|* z{MkUfub?ggMSS>WxZdOG8lPCo0hgBzSF*?xysq4vh$FB?-l6G+^x5LaQ)OQWqsPew ztxg(g*{9(#GnG=Au3m$wZw5pXhivQ!xw}Fa+NS6aQKDPfPBn|+rI8mek!_lL@S2q2 zf38E9zbkeM!QXBQ z(<0i8bwG0wdB1%quUa{0Yb{e-ih>J9Q{aF>xTxcSCwH}^@WU&DUHnyneCaJ{y8Cro zv_5x>gh{JKn`Ylok0#+gzVCYDX)rJ!yjdV@=0Z%wMIM<^4Pq8#Sq z*9|cW5PDnLfL?N>B!U(0igw3@Lj=%dF3?D9+v8LCQ}oAPFBh3q3>110514|fa^E}# zI)jqrJ%4jzjlF1oo{0QI1poUtceh2$d8+qUl3Kvp^_^4>+SFb^_lAm<&1}jg^a@ix zh#^?A7nN_u-jRF4HWv;LO3m-9yR|^kM zZ{2obYTiQixsO)gaBjaI0r%*P$e-X38F=3dxqr9Nx?-A|$o6k^Cc(0KX}+b?5r{so zC+35uW|4y?iuGZ&qMLWpP=H)ws!&WSm6tLgou=SLmindgeIT1864+%I~0**Zv_=_F=q2C2J!zmxvg^}!}8 zuenc^k|#1IB(r3!PaHS8ohD^M4opG~>@-ptSx5_+e9)6^lT%dLhe@Fx`tJ zy*907-&>lnFeHf74Kw6_FMEeIF#_^Vpnvs$`tR!$46wXnjIa^RB~qCa$Q7>YV*vW~ z5p-@&s2I9 zJ)_>zGL8W4LQ06FtLTmgtugLIWw*2K0eC{YN4$e(Hr-P?-%2}@$Jj;@9vz5xg{0zu zo;&Y{P9R@Q?mdWA(B_%9=^r~%!o^E-^}B?pUA_3qRn+}K-> zqZ8ODdN$+^glHp*d=%uESZ+O7p{43-$ug=d)m}m+6OTj_V;Lr0$0f!TG{aiUi&wYX zJX-Yq=e}$8>bV#dd~ESHQ-7CzJ7T(To4(mEi&obO1ot&<=dzZ>lyfT?->(#(=Y<#A zGqq_$K!5Mc-x^bWK6id$37;zK5s_5&nbhR$vMS&P3q7}wMYIPajsl)foO9Q^>damS ztjh-c7*9DVk27aiD?BAltDB97oP$bS8m$`{Y86x!qx9J}+sD$}mwzZy6X4-*KHf|F zTFrLPSA}+B0)i4rhM+tuR&s`#-+=;D}88F zAX3#lnCmS;RWC)%tbQ};(t!#GBCYWI0M+An&kx5*U?Lsfz2Tr1Ep(AUmcWmm&mhb) zNF!*T8?}2I!2A;1Uw<_Y7-%{iQj9;I-Kb6I6R-MqQOX6Tyc!-Vu?U5;j5X5pdDn_vtl5lE%7kgzl<;Frp=4}TdBz-Qw?{N(0IGio712Jfrg+8q>F`F!#P zpM&4cO+o3*X%yuuaZ26&Ez{~`h1qrKSD2X;aa4taQ^a(xvzZ4trPel6r46Z;{V1wt3iv!LA|W1aV&4DC!=EnG`q|7%s+pbW+5Bx1>E_i9D4 zf_qIYjgL=XvQJeRY3I#N=XkB+y~!lc%6mIiuU3O8@_1)D)H4EN+GAfp(lA*;@gX1H z&f17_6KO5oE#+s^y^ZjMo^q+APz?C`t$2vLqJRGSuc0{t`Ip+)yro3srPHuc*THll z{}?38c?9h$w(29=;3I1$t$SoLfGWv6^Wvr@qL8My(1g3sp|mtGo_IrCU} z@@UN6puEOm`_Ou&zpPxI-99as*KT)dCx3WJGeFzf>_{$M3;|Mptz2#6ui!pxZ}*FRiI`@wNUWhjg^KrdL-#}_Ic8q_ zUdKn6JbrZ*Y8oP=Fa|_o48a(Ks*i-VfFz;j?s#-n980VQp^D}X!;BU)h<|0IcZcpA z%jMO`!f`blEVKp&G>GCbuV)r!>QZuKa&hUox~XcA;bp}=LxOLFn~2Ug9<8VO-x!E1 zXjY(4mk{0%*V_1Qq`{GZP_kIvAR=LKuiYN-DuAzv_y?&SbAzAU4Sb;|%rvgZ^yK0B ze*a29Z5Cv;2Q_v~mWtlzp?^Fey_iu!twkJw%!oicVdY)Up%3&+#~D(#eKyo;`b-dJ zvWJ?xP;nnh)L}f$PTZP~=Zi@mR>D1LvOXc}@JJb)U~f^gJ(tmUsc;MWIg2sQe$ST$ zzjWw_)Gy(3owBEnqf*hLM37xKes>W(f?%7RbQ2NNhs4p>Uiel?snNtKD+x0qbohl+(VE-u+r>-Q(^HpSd5__$R2@TP_1Aoo?qq zguFJ^#~u!-Dtyvj-lw5y^5L3`rv9!M*RB}9wyZVK{i0pbGJkU+_%|0-f7YCYJD&w2 z0PP`_G(hIrQjf0$$n*sA5Hs$cRHh?*7UF5)02K8fBpS6 zB$SjT6~xS-Xm);OVscKE44>^_=|>R)#;-_veb4O*cYY}7aFcdkb$HBsMEqR`RuJda zGz==x5@0c^1%CzVWPn_@Mh@o!ifZ*$Ffwe(P!?4kqVm?83n*~LDZ{@&ndU{(g*Iwc z1rjL2MtAt5vNqAUbH$gU!o<@iGx!eIgrEk&aYa6`d@t5(-0iTo=Ts!0x*EMliT0?; zZ5DL`6a6jn1-lvvb%nW0mbct_Bx4|CGJpBbR7;^i>VGXSOJqK3#RN-j!D&9(>d^-x zJ<|e7Z?W(0Jpzi*=jjR}K1*$#C7G=%7!1?fl5|+}Xs*XVduyyA`P2A-W|<lzt(MVq$@w=OG$OScgn8 z;;iTI)_+h45!-d%mcMShPiikmvkB>jbu?jbe~p@x3E-MEB%y>{8QXe>g1~#W{Dj2> zb!gFmfK7Da45hw<>zr=eYYmR*+sI*^#RzZHk9xuttc45=trRP{_Fj1HP8;BYu9+D< za=g5Cg3|pwgG=woewI`2!gS4sBNy5R@u*tafq#eTYxt>U7N^#ge%dE-SV`6ny#E3H z)7%n3&t@z<&|huDVd$DV?{t1xn4Hl)Dr%EOURwUWcDbL?$oeE4FW_Xa9-4?2J$5yy zr4-(3fPIkGDT~nEz?1J_`P$%{_d;2`mXHX4zD`_!6tvfsV8kb7sZHlzV#rY;GgK2t za(@tQrfm&K))f2DQZgw{@^a6Lif2_6n`e%`&_{it)({Bx@d#cWhty*CU1)t>ZIHEa ztMh&K=8W1B*3ZE2ihBCjeH-1eeArRK>A_welruBpg$E=J!(KZpPSs!)Mm6R_74@02 z+As#ykeRMf#`&l7@Z0XPwsr321ke{0DStc-D`V?1L9>mO?er6IJi8$~D$xi?-tBK= zdgp8h72dKL%OEAL~?;wE|gt_sYa3S z$$}0u5#6{GYg$V+V^6=WkC_i}`s}pcsr#FYWHyZ@19G8aD6mD@q;;%fvhteZfpF-n z#P6VHl^CXuF*9()Vh;1B7{ZHcwSV=E6-u)-CLktBhI2V@MOVypwi$67i?zmn;rkxn zT34v{_6`92j$C!v$jAXFqKwTY$C zb2u6`Z%;xZVZx#F;qq)kylE<6d*7J*jxw&WA9I{E;FslvJqk0Y`~HHYAJWq znh}F;664!Qf)D)=6Mxt=S7K+ZTnO9`b>>J0rCD2)Rz}q-gfZ<^fR58WewiUV2W7$1 zmJS|#&B!J^D`!Z-+O_n4SUk!pdQj03EWvBaOUYr#r05s31^oa7=Ekp2mVb<0&25#JG|_4-+Bd=u z1UBSPS)@M*lB&?Zzmz-5MR(LrF)3z`=!P3ejpz$~c3ayKUv(f$EdfDWb1AoxAQ>m_ zNK@}V;54+Owyr?>_&f92FCLhfkCLR=%JCHuzrsOK8NEROa}Rkg#`EZ{!e%ie^XTZX z4%M772BVg60)MbRiDKCjdSI++d9oXmX#Ly zTYcmR&)O!8^-PA!Mz10JVRdyU&k*Dd6|0eDyww@pRZU}y?cjfwHTu;xKdOVYM$(Q}q%5qV(uI!n1d7;t27XllW)6~Y} z*8d$5N`HQF3ncIIYNi;Saj0sa56hTRRSWOLH8pzJQoS@*=o>3>=wsW96IY`9RjU-w z^mS>8!reL;2$RMw@59WV;TYKEyhMG|WHYowuU20r)kzsG{d^9@1D-!E_eTKt2_IBRmun)=SCpiOj|0!NdL?D2nqZbh(3xHgX^ia zSby+-f3;xJD`Gf`v~i%tEwRZSvOqXAEqWL}ccQo5Eyh7^@lCL%O&5i~#DRVQF2~ns zd}fH$uAAA3`lD$lG|o88PM-oRr0+1FZ>PQx;|7cUz;OuG4+Tp^XO)1M*#|vO!Z}Dj zv+EwK2=zzPl!J@i^Ll84jQpI`xr>^4-G8x!IncaP$4mmN$xlp%5$L`)5M^^4Ekphdz(iwmB!k$g=o={20u~dK{@-Z+Bfj zcMGnYo+DMj12vqOA%KLV9_tCuBSG@prS@qkq2K z%_I1UFmmBd79?hyPi1&ICs+-c1LAtIB}||Bc)9qFQfizcYmSZy9JgWh;MZIi?tb}0 zu9&|z+9?<)wPia}+D`~-f4(2;!B997#nj5~!cNCugIMLwd+w%&&LY^*fzX38IdLig zykgbW*o!Xrc5Hq&ZeHYSy4)!Ka(@97D$LUzFD3HIx4eomblz$+l4b5(B`1?s1UQVO z`vHaoF2XW5-3bY2fa9AIK$n@{Gf4+fyS&E%QgEj;2d@RL)0zS3v*N z1-9rP612*>w-AWdVGxQN$q*8xcnu$eGxcjErDE#%P@6jPh^cR;DebN^6Mz5R1^?TV zWkeyW#jvnIqdIow^(nhTl#d`0A(*Kv zk}3liw_b1Pq~!7ax$4L?z|4TmGWxt*2Dd$Y;Bp1Jqd4l!!RuS{(VLwnL0!OxlCv(^ zn?LJXxF+c`iPmC8x&T?JNPj-QW{icrnB3RN)*OB+CMr*R(>- z)pj|sXR45%0WZBNhFgJtmE$g@Y49wc`V$C?0tn`x1}hbfxZbn;Xp**!;YyMxv>kN^ zmA7g`MJ+VHqAKBy*NL|7Qzeg#DBTyj?Ji`gUrMu813ePQEo zCrs1~n|lF2Nq-y?3~gzO3c`Zo$P3zhV9UjSgM&v)&O?@qXu{SB+{f%$18Y=}ewF_e z@V1?(oNW%5M_m<&UJR&)sm|pN^m~5&4f;!GS55DxQI_*40V=36Rbj@>D*sjYQ1+4> zMoemP-KWWP5xfUWpEIE`QsAM2GQ-FXir8itO6>gN4SyX=F_@C^W@pe)>Q`5o0T!V> zEv-0%7-^z)u*j1;IYK;`RiV!Rxb_juE z*JhCnEQ_H0?#Js!i@a~rFrF*Qjb@31TF$;^( zY>=+U`ttS*(O$t#P^8`b!DB0XzfD8O5`sUq34iH``+vrj5$Np77Yh~x;E7)czKYOMGAB0`cweNfnQ=lA&LtxLoV8Mgp z%HtokeTrC58eH7Mndg6WWTZXD<|WnI$o_t)NiLltwt{6>T324SH#Eq>jw3QQ9w`5G zBI3rYrEB3XIJ!sjz)fVvvh^^XK!0)j)#b(QcSgj>iSnn4@db0S_?lI54xIPsTSsmM zf1Mqkv7%|)5vSK}J$f(6PzrB+c?UU3n84RQVYdtFjoT1684k#}!*3lh9=g&$-gh6S z_8TuygrCCQ(n)C=@|s*UNy@heC7Zt`_xYl)Gd-me3iQN^O{Qa3r_vc1*?%rmdnPn= zkNq@X0|(D=g&G(TRo~OWMf?F}u97(5_@wkj>59Ep+X_!AeoKmfldXbLcUHI>BCf*~SsE)H0teimM4 zN66pjU#=l>a(8%ui28)|3`inRpE^9~MV$bGC-h-Mv~nhd==2JgZ8P78l<2TJv>q(f ztb)4;oRauYT>R->0-#%pV?twW&AC27{HiS#%{k<0=tHzwqS`1$7=I5RcsDq1#|cD^ zTw70yo-fp7m)(){>Xd`4?{Iq$F1Wmc^nd?+azJKK&9t}dykxIvsQnh4sfmU!UGHII znbT5Z{tDYO+8i@G)1aon?5~Y5GB`>iF|`;KLIj7I9KqsQA-86!u@e=O9}}hrb zo)1zEoGk-q4Dn9c!+%XyK$vM-oQN1tW3%8srA~ui*X*vtLnJiEfPIy?Isv+B$<}US zuL=xz-0>C?jC|WMQ+Hp|0Tr)}ODAODv{ThfwZ_lab1j90pI8~p1FC7A82ENlDjaOb zwkc>SRugjTylAWRB3m{MvM|?UbuYuPZX%mIUzT(A`_t4u7-aT(#I@GPjfs6%dYh5x3vHO>yqH7Rf2`Ig^14Qlh59Hb?C1r*|j> zcnj8@6>d?ZN9P|}uujXDEXgwR{%ZN6ke<=PU6f3Zd4Mn^Uxa}9*_e!d#Rke)(ZG)0 ze2Cb4sI;{B-*<(w7Rld=!&kb-u5bI#m&)?>!M~^5B!BU6OviIn?a{yIO*oVmq<;?( z)E%oPuj?fc9)-lFD+?!ATrf8zucRdiyev)~N5%;3Cy*q03KP#?ekD09xEgNhw{<6N z7tn*c%I}ZyPh{86GvlHnb5969IV;`Sl-8PNLnhT)e!Oun`)pQRUChIMz6qz-#dJF^ zo5lb~ihp>7MWoG;jXE9>7hR7u)WXh9+nsENaI4RPgJ*n_>Bfx<3<)bOXIn1G(axD* zpoU-dqy2GHU5bWG{$)SbTq4BqjoKn%=2gD@qVX#_T_FAn`tO+1eW(FJLae1pMN|M>$1V2-+peHZ(EYkg)OS(W9X~+ z|5$;e6-$nM$N?=SV9{nfg_dv}d4;GwQD??^gKLE&dJ$SXCh%DA;WZ8=&vibK)8O)H z3M*We*d{7i^|@AXl`VEUk90CW_ftjz5V>v}2;vn7Za2~kk9cMp%_^d@B7)&95lfS> zRDW=cK)X%0LD66GAki-AJ?}Q<)ql}6?AcRoUJb4*>vH{_7YTD~Z1`+{!AG3j$&E?T zE*6(XwqQqkr|O%Ms?5M%7$v$Maa^@SX=y3k&h6TML6V&U-fl~^2OSy&Qw&bBbC$R- zH`}t(Ye$oWDs20{jELCvY+=|~&|QSRsDFFo5FfcDC3&drxhj^abBNmH7#qhex!*~u zm!)Ab3t*Jy_(D9PZR*WAvWSZ1+?4E+W<}+^GB+8RVI(mQ4MFc;CCg+LaX+I~cDC{2 zP(?Pq1@d~^%|skAie25jM5#?ZIgAloEW8r_9W_6i95yZBRgZna04@sAV2WyQ)qhbo zPz{40Rj>LedeAKm=z+A4xhp9eR0GrBkE_lot@DjDXc$sLHOj-1wK*|!cr0l&ygN3M z?i<46>kUZv{D9*Rz@VD~9Hj$P&o369t>?tSm|)Tiwyi6y7!o{aUSuz zlHWt{pqPm=UTZyrRLWZFN^>3~Q-w(eDH$=6byyHT8ExkmTLoS8iE=+xvVUMyp2nsA zhCiqs;I>hjvYu?LKCQ>wqo_a57c&LPR}hF^;g+g<(?`WL=yJOaD%TgVH0~NbwC*IMdzP+i_ z=!&BUUp3yMpJ*NqnMy~7N`EHdpm;gvay4^96uFb-?3*4NbXnx+KaHR?&YfG?b^LeJ0%xb-I zqksULH0r3k5UDc8$0(pdjrCpICJ?oDU?yQmztJ^_@X&J_HtTbDcLo#a0^^m5<@B1Jr>U#o zR=YSibhxz>)G)gab!0?ey4rm+=;hhbCu)r)_IIX+D-yLa_<#8swMJ<7%5~izi!j^9 zD8)ea2)pr$M5~L9%=Z{9{S=7ZLm~1kk2;{V`;)Q~peJ6+1g7I*2IaC@HYB>~ADdnR zIwlj~gNjegP4JL8unx_ULbA5C(mCCkf|eDscyy}1vjK@adP+JA+SYfR;g7o--=-?8nmgfN3#~^ojtioQEg{5P96Z$ElKV|R>(gw3P;|gf@OX9JWbp?)>K50 zJbCjSSbs;K_BjgN&WiED?>PbF))C<(pP~8DPIjXnTF>v}9T+xE&JgyRy^UHCAfB7! zc37E1p>S}9d+1poQIWasQ3?**55UoaU=`3}c?D4m?soXEJu}B_Xd&OWR51h2r$R|K87-+uZ>J3t;q}-Lr zGON@leF}^Wr^OQu4xoIsWIh}MD@Pp;6%uC~Q&9M%G$F&HeII@4V2;_H^D4X1PQs^v8edRexkp*~JB(sNSe#9a9XoU}#j&7LDzLctp1AoJ#xk4%V^I2- zj9qZ2GhPf#$w`-4vygOlL0lTBz-hE(`wZ%qCj2MDIXz%6Sc7OS* zFdfM>vdLPL>GKW%42R?+q8Q(91=T?mJw1qEQrG4*Zv`3?pB{Fl@IFxw!3G~Rx}JRW z*}r{X#6%?K$DryhDgTTAUhYK%8eZ_cuZHg|lpgDOnGmi}!Otl=w;qVEiGekg<;Hjk zUlE*C)p3B4Tj!McS47lFK2!eXmwyBtQCcFsxvy}2WeNRq+b=`!!&S4$i4mju?a%e; z>;V6rr#mQfLOR8}NUXQjw3lZv#Ku>;Q04AMe4kveDN5=>H*0iLn?zV^v#ehL8Uy^s z&tJt%V)<8JC#6U-*>fU`SPatodsWHLvfI6yj56654scbHVEMS?5VOZRBY$SAC-SHV zu-I4x7u!+CI7EI%JP30N58)a|)9_OrF=Nd%v!nUKxVi~(4{F@oR9ky`AS(Zgb}#Yn z0lhbEdU2UJ*?-BVMO|qcZNbpF7(1}cCP-GO^A+lDbH!%0Lu^x9K-(&v+QMw+vxEMW zEpf2?Z6PUl>0Rm>w|gx@s9o1@pem}3uK?#&`F=(A=d(sNn# zN>gakk{M{4a-G;Uhh0sx_55hlv}9C5p(6?mKLL4)Y^%qUac1ZK=YOep8L1ILs#@ct z0;nMM+zMF)9Iv=k={;2wZIOiMsRZ5{sOPz+Yc|lIZ$QSLHIj)hqTl zGemm>m<3_KJYs*AgqY8&cFcu|@C`mopAjw~XO&y96tt^!41e%544$7P7RRaI&1S8B zVt~M(Y~^B5(Lefp{uA=?#E|M8obY=W*8`YtL0sN=+lj~7y)^b^3PKe}m~a`Y@a!oo zyF3;;8OG<@Vzo#lyu=`tUkzqn+7YqhF791^q#`^6#Hu^LzG+qzw#GzbgjP7{4eYzb zRb;@slm-=xZGX=N4VYz-lRQw8NY!y~iH+YW{ciQDs%x%zRb}dHqbYclACxw?v$<81 z>E%sPkBor*J%Y2EUFoq0xjnI(fuy^Wx@kyszj8!R2k8NO-BJae;hZ-%=6g{(VHo=W zX2-rLmh*xuoe80ia%m;p*hh{U683ZIb*OAP0*Wrffqz%pm(=36P!HW{7*{^dH%Dy~ zL^LD`^fX~gR8;uNUiHYXS=``KP3u7i1coR4eWxP4-Ra&sZ3OZ73$jWRm%pF?GwH!C zu|6BAq-6RnxD}~j9D%4yE5$$=RXM4Y{yhE$sT~4bG4vr`#uQur%D&{@LH$khT&;eu zHeTA&w}0OaQ%0bh4|~`WG9s*yg6|hUZ?vbc55Sad3vLv1KVjy_Vru_<*79==nrzcKM_W zxiLggR_(95Rb>-KA=D42b;t}4dkJ3&F+2+?&LPZA35reAvxyiPoule%QxMRVXPd+# zS~0c85q{hf`$+L7c+;8j?JH*Z^xWf96@*XT&%O8%d_qD8^d1aNShMCRX(Y{Gklp7J zp?{Hg^Iv?-s{9A+)wb1%&DIP9BGU-RB zH^10{1;aBOG@5Rhue(q5vs0dT>Z5lVOQEK-c)N3bLR=11gV&`PO8$6QE>CV>dzuBt zR1-@F9;7EoWG|X+i5lXV87QD!&P9(K6Ms`)k_UX_#+Y`7^0Dbw8*g)H%!3+kn7#^` zC$8Ze55rN;a=66(bTP*63sT3V#AZ;B;dIb-BVtx(WYp7IdKYlFxTsBG_7^L51#|@X zMgH^fgP&sA`^7`Gw2XFKp^9+)SmmI0w5q#`SW;z>!H7Ivn6P-pSD2Meg+doe)PG8P zLi&{C_Zy?T_`WnQ(4p0u&<<9-vN}s}e9ue+6@wZ%RW&fMfdf$;#@(ZcrL!kzG-*cI ze)mo=Ia~#hlE_y98-W2CTxsC{i6t$0{@~%W`9%NJ>$bWzfy6h=8v)W902S65Q3h_Oot@KZGp4x zFeFvnP%)~^ilFj~T&80WQ2ry+r4n1yLBk)yq`aHd?8HZla5Fp;0I$WtP%`e1%`ig) zPQ=||yCJ+$9-KSQ*%$xFP~tW))1V?d3xh2+X5F1kjn# z1K9cac$xkR2Z-8&94$?NV1NS9*#czydZG!?2B2nVVhM8g_@5MXf)>us_WZ1@Zf-={3X!YzlG&yq*qFlaU7~*@M7;ljZ*=FaiE)4uGA7{lDq{iT<6)68u*% z(8R>f)*cAL-OK;6S0^p}(UxA|3rx0k)0J;3Z$2FTme4D|X3$;%1o3IaGgx`4dB{_Xf*gv8Dc zFts#s1{j0PEx|~CvcH-^W`E;QIuc1}J3FE<;&`+xH&11!APNilkg@Z2t1o{Z07)@dIrwZ9M*AyiTr*^XnEU*uCxo`2SM1K!2~Un4OL3|Mkg# zI0IjIK@@Cm^WQdFI!Rf&gG`kzolPwM9+$uE8ow9K#u5xtwsW%leYF6X+1c3skMDK6 zOsrq852x3e{L2M;U7r6vQW9)pXZm}~IJkKLKu1TQ2NK)sC~8^9`m`rGt3;svmZ|3Pm7tP=l4ylengsXvGlz$)_x zy~Zj07rlLDD*r(o09MsM=rvC558?r^YW_j5S+xG3*VDECi}+rBz(0r&z-sbe#Qn-N zv9oz?;eRZ@JIQMLFUSsH1^wZ_p8EGg{6lyx((DiXjV%B0Ie$afe<9^j{|GIW||LP9=YqkH8$61{%96|qT*K57bZg&5F3V2oP@-O&WvfIC!|4RQ?c8=G49)Ie8?TjbL@$Y2+ zvk4|Hj*hSIgTL;o*ERVM{_Euf0=a`skbcbBnFs_~RRy)&eHSHiW8NNnH%+~*l|s+# z^~15%ki%$XP%uIs7fO+PQK68CDjhQ+ALM9u}h~#|~&6)3| zv&Io~KkK<%s?OomK|n=+po32WeT_LXN#iB9mn4ff?^XCMRyHK^n;D70T)j{$I?#cu zlDz$gVDa$y`Y`^!p}%-B`sQRvtcl77wbK~4U)F&W_2PuI=>=xvi0&qshU$qAM$}wN8g&>KF zq9>u`tVSwVW!pW`Ub+>(9-0ISFB%B$+>4+l6FvD^W z5tJNiIp1|ZANFQ{h#6xFkCZAv2G|8v@m3T9fq6;cIkbcgq2^Zwx5^~^V>OdSQ(?&a zrWInQpFU2?6i=K4zc+9TQ$Q~-83$2HNSv}~lyGV#X5p_Os|g8?#nwjqiTAwJ;L&j;Jb zC^uOIYNAPhkmK{(dnTV1~rXg<-qj(m}*aZq_RK5N+6%0AX&QPtBz6y zyyMk>x$rLfvJ|J!c<2cV#@B?`O&vlcgtM(>Zev*8>{#RahE4V3v!;X&b45~TdFqVT zqFj=FZ3W6nPD5R`kGjik{@I+;VKqBWd@1?8Kd}!moraeyFK`z&YJX{}y%(P8T@&MQ zv8EKB$qr{P=l5ZP{$QDP2u*YyV%{aS%qF{u$Rbbk7Z9x5vVn;vfOgsNsc7bjx z)o7+E|AeIp&RnY1llX%I$Cl9uw_~|{>?MCL{#JF~z^}Ekwo#w8XOk?W7vBF>o)`_frHNoO)+8wN3s&xFDybKJGW*``_U+>d3jLpW9@79Cyn z3h9)bjI0Qc$Be$+P}YI8-HU^xv;|2hUrnYJhVe8J#ecr%`{w%2LbAh9o376zE=2Jz z1)h$NuwWO@lzUDvXGNQ<7`B-ki#+y!3`JW!9qzj%sq9TmNn1UJ-ogN5K%BpfzIHY? zep3=@O?mp`4;zMtnGquX55%?1Ld5oiyf=vr@=Xsl{R=u@`^9~#`)#i8uS+8^n@v;M z^G5ku{eJ<>$(gYGky@9$qqEUe#u(4w`8YMb0BwmX(Kd63(&e>v8>qYx8-_Xi0JxKMV^wvi z1TC_}SKLxs$<80Rltr;VyIB~w`@X;Dw3PG?3aHgZ&$m7DyiHWaxuYVPeuUPQ%;NIG z3huG@RAtq=-$!FcZ1yh%8p^=#)F#+keQ?29+O*Q7FyrV1!iO4Z%LA6MRS2)8M4l>KOe(py$CK<~O z&ArqyjXf)}2u+Hsij3_Ma#uVq%2~1BjCF$kmeD9J@ApF~-$LjzbJ{NYYWgs%e=viv z17cBD;7pcL&lYKnj98Z7fd_x6%bEZyX3o~1j{;5+1z;pG)v4Qh2H3OUaq|*IHxYcd zQts-VK7y_cA)ddAFvSq@(MfbZ`N&MJkMXLEo!q}Hx*$Ofe4p35=|dUqYxssCM4#kq zt)Ar>InKT8sO6U-hv5`kS%6$Mf55CYE6jsI?H&@%`zG8{T9d~OSPzM}PGwsa;wp&Y zsiQ(;95w;oD>NUw{FxV(>9u155ve_bpRA;iR- z**|s?<){(~uygkiQ(FK`oW50QNmI*C-5C;i&1=)DFX0LKUFxWHej_H8@Fg5a@qyI- zj~)_w3N>%k_}(v^sYJKTW;mK%Nf#JA>Tz?2CQ@$xaK+IL{xDuckF$#_x22YEKwRSk zZcHsxAk=3XB1x2-_(IZOT z+6GssiFU2({qZ!)3g2V^^Jn_|_6L_rqz)HGY?~U>>n*O@{RYn>6M3i51l&7ySvXyO z*izRq!pGp9C}FK|#k)ua9EK*;?~1UNrzi4emu6BktOdfzxez0t?J&kh41uHMSxcxJ zVh7Ip*Pa1-t$69Mf2Av*RasLVx$+M84sjKJ6(-@%LZr`2x%m#9g1Bj8^e=pCbUKtr zN$m$9W9H}UzByJ14=Be0g9g#ZE_iv=!PL|y!(BA#bjzZA;c2_?L=+m}mTqQkj9Y8kC~8$}Ci_L1I5?_B7@g zEU(n^TTx)Nf0e5bYV7MbW1?7M<@CwzsStR$N#f06k4Wi%qZt$g-KtyE?FAILS z)ddeE*OguMuRz5dB4AIiwXM$uzCED&)lNwPLYR1mIcrUVOmc3vViuI06dRQ}j9iXc z6i|br>_#M6%U5tcPNmo!v?!SKRQM7`VWkb*tnCE-q~J)na3EPxbCfYF|46Y%e#Bsg z&zMdQf2koF9zQ+=g~BAviP^E~&YN`3LrK*B0wlkTco()wIe_)>gglry=#q~YX`Iu* zny@O}UcE#Kc&~h`SEOfTyuLY0b>sN1jeb-(j`bViEnkdax*e972FAhR58iT?EbgLB ze6hMsRT)5Pbv_@E0$-CHYmfBOQ+ z(Ud1ViM6!k6`ZxbDV;g@6+xtmzc1US^jEJFaOdsbk2dM1Da{D8<}2AZE!j(LhxsEi ze~^MkjVCe8!Y9}I0?e0(xNy?mYE-1ak0`h6b|~|8)-JTCZs{0L70Dj{5K;#zK`Zv% zH5{bajR`&8G7KvI5&nx=OAldo_W5UE?6aQIZ zoXX6{5sSfFCq~S%9N&mveTKD8>0kTQs_-)QNSJ`mg&vlWmFJTqj?azMH5XEx1drwz z`I-4Eku*69-U~kq2RJh&tOqQNqV+NzT}*{m&joHR8%2o>+fKr9knE%kCsR$Vf3FqO zXCm*&z(zU0T<39S={eF8f2MxgqM|%T<+-2qdOk&XEZN;CuhWxqz1BF=B0+BVvpGSc zc8Aq9ubII(4z}X)$;T8&p21n7`1HxZ+D5$U)C0X~iJJJCjDCU|T&FcF^+kyl0$Twi z)uYUJ5PN3V=TgU^^|)w}%u*&Nf7qYuTc-H1dOCx9U~#YhL-B4B{S}#ICM2XYmri<; zCd7=s?RL1o<}^h7qS8ZxzhC)v3%xmn<#Qv_1vN92tVUm!uGx2WZQdDH7Q$eYP~1p= z1Pz!lrdtc%)3*vaT;m7Ch@N|V{F@`y>sHUUj;`0~%2zRzs6wBz5RCoYe=nLh4R4#j z4a;{o^kgD?l!O!~1b)97=SH7Y6=C%OdHnzwuevbgbSduYl%ie#)GT zyKas$Q_i)kKlW=^m}WGfWlBLi;W1?WspVy{FgkcT$QnMGb}(YKTdn3j^?WQF>WMt* z6cRC8w-vEIXN8AYxKi!of0-`tjpT^99rAaC@V9IJfpjEu>O1o1G#w*Ny$&kZ{;3v}W$rB?l?!{9S_LIelINhb4 z7iGDz?(h;+9_UIIf8M5IHO|?jHc_qd4_ODdki#$pZeFBi^I>DZjUZc6M0YV%&p1e9 zuR|fDIbnbWZDc5kjR-%xcfAA%l zIJ-D}Cl%W**hiLyKB-F)?9PS_@n-W0IrUn{%PSzd`)Y-ZeIbPuCGf4( znOI%5gBn}me{HA4k#ECiMGT=(f&~E5G;PY&>+~W(&gwc^15pn+1~NGGOQ+!cpYw=j27Jvy6coB$ zxGyv{a^x&*&inMG`EeL#XV z&5>9hufBu!7a8X;zfry@uXwDFHlyKAN}DRB^p>b*kF$P+#EL0uTMaql9Gl+;gTKov z>`%`t<1M`J0V&^!4oe(AOdfx$QjGYXSx0?2e@S4D0C6!usG&_`F&f4bx1iLvd--c^ zMr(|t(qIw)E)EjSQ@Y~#5n!p9H8oc9^yFdIYN+-?@k8i=#oXwIP>e@<7wKu>P%M%3 zallmjB(4_wI;K%u(i@`k%W-h<4oC~L*>Eq@#zv(Gi{}Z>(ylPb|C5wpbsQn*OzNW! zfAsV6h9WiYiVB>8+Zg_GU}U#fI*nvm6n~EOI{1Mj`af)r0e?mBa`VZ-@hI;m)^|Aus3Qhw*JQXx2G3}X7 zhU#6m^VmhF99PUNbnG<}N_M@4ke=1Wn8HFct10jh@#EFj%|gM5goNKW#nhtZX_gf& ze2~7DWj#fv@)0MAA+Oo+&O+VvgHe#HMi#Bt;lIfuXzN6ckGJ#29x0bn$zI`)e^iUY zO{iD4P=m)E+gWsNd`i>EwXBAGTY|rMTEnAnaQpd8W~95NG$GIhJ(wX)Rj_mQVxx}Y zl%ECq&}S_54uPiZA>>D`I^t&tc_Kx=YH6Q$jKHy0U^eTo`H*J18l)GbcIct$7e~Hg zi-z%m9g>Y)aO)H`vYe0L(y)8Ve};<(P?7Rn#RTu|1o)mRN!RdOKv!AQvs*~GhHj^V z>F)8NN?YplidjuK{6wuA|MD{BFdB{ioNpf?JI44scp2yXqh< z?eS*a`KgTuKj?OEv&l*!7GCZRi%j>qPR-SMC-I`!KvUGVcn`Que_ej6U(v|Stgje6 zfIW9w7l*3FXK&uEZ!4cg^Hf@M43R(ScVLujkjbk-n;{-`{ ztR775)W`Y{QfU_S*V++ebqAimFYE64iS)PAP)fbE+(b0se@m|uOo)OEa+`z)F=jIt z*wnX*Wt)CUcf~pt+7c^*kK?dkC)K6E!@b0}ng{k(q*N`AgPg1bpSjrJu*!r3(67pj z=I8Cc=3-99%Nxvs!c*UbAS(q<`~X(;o9{x&Zo;cv$~fuTTwRBG$OMFuc|MM>xcB@} zE>+UO-BgtPe}Lz5Z;lNo`Un@b=A5igJiChuL-K*2mCG&p^4V7bHY5dRGsu=9Q`iHG zPcbbh6slb+or-&WmW5jyy!?>bx=erf(s^dNp}Uy-zHt}LkALo+bivmB_1Sy8$b9pp z{T@-*mx0M#mJM9%m6}=#_!Et~zD8ABv^ue8@ttwCXmEgNyVZ0H3CL z3D=O~!gP_5VWoa zu5mg)y5d1O4B$hnYM7%`l0a+u(vXCuQeo-=mkEcGJLDTMN#SgdR$@N2>nLG{;m-x%Tgk2`7?2ACXtf4Om>!++-k^H%eS2;7ez``dds#Az~LE3PPnKt$m+{ zf9C`?(jSJFe3Z>}fx>6r;E*R}s(3YfTD|8&@VN6!W5L(>b2;&OV2^6jwdhGwlH6!0 zOYy*lVZBqyr9;6+n*-HQH>Aip>-NJU2#19ekuK2S#rKlHeKh)ke&{j9js0e?D z$rN+G_1YK%xqvz%p68Syf}UK4c`gLkf0Y55u#uU>=A<%loi4i-RoAlC?qC2ZRN>w_ zPP?SCTI|Dyuoss92$vYR+~?T?Im72NIW{~>K&E!|lNOE1WpxjXG(IAf3)9^JCYK!`ZajBXe-jRE$%>M?&6t* z&Zv6Cm$9`Sp(fh($?PU)b<0y&LN;>2AM<-AGM$D-Ge=S?sZ5g@2Th$N1>TJJWpce|4-X6J)uedbtl&V?l`VNjRjHUkvGZ zN`3Z^DXy!SDl)J^!dLS|8bB1`Q~#|lqzsQ9(bxp9LF$8teg+zY;k}snpk9C=)3Hjo zFCTe1sSJWIiibfF-rX2^JIumj9cHF<$LoIt_T!sR1eD#h;Wb}&e*+p>p^33t+AIs6 zSdbx2ixJ>C$(wGN7))Kd;niu3YSdD?sBnqJm%ltVFv*5Mxbu?D)0GJ+a0cXKXe(}n z7EWr0I;LFHc7#ojCLDUl$@x;oy~{}!P=Eh1S~=nLkXASUTObV9l=2(oyu*2J57Wc~ zmUfeYqEUOo(XW}Fe}WDCyRq>7nh4WhPvbj7h&SF$*^sL9uj=J8T3mUvN5+V>5}HK& zp=LR8l$nQCdn=qA?&Kju?^~}OJ`5K2Bet{Jb=}cG$}gq%lq3>ao?kIQ?SG9@mrtuT zr0z(ou`r9PX9C7E>_F2_=u43VVpS8?;H_m!r3Xk!ovf2kf6h3lq#;N1lzQuHF5@Y# znTbEvZ5Top7Q#<2uxS{WX;)c(C{k&{W_4JP8bg;b!_mbY+$g@ahwK6-um1WN=AFic zO{Ll8F1k&Djc+YD2LlSChQO5BvZk6XZ(*;JGS#ytkO)wKCd%kML&H5>bw0wjUU}^1 zt>FC>F;r2nf7BX-w_y@KHExE>si-HhO0aCyEA>Ebs(3ORLo{y$PbhA-jl_fRUxJH5 zUdG=xSN%-Bf2i^+u9h1YpMZDiWx?JvP=unI2zOFgFw+Z5lV{r{-Uj?_%4Cm>w>oNj zbp@%U%lz{#N>iuI#(6Rk3?(l|&b4qWB!?Wv_U445e}$>OY6tON|4UV;@~4{86&>|9 z$Cq~7X8T<>+v%DcF_ZMZG3w_GEKeu<>Gryw>gX0K@(SkFA$R66T^Z&XaCQcHEkB}2 zgt==7^>gZ5?k)R!uynNV6+Z=_g3#yGwArV;j&K#+lscjg0 zU`iR{e~Oe^b4mMkXguEVOL6VDa=f^$2*a33^cmsrdRhfpuvl8^CO*k0hU%@9!vI$k0h8HMh_Sbfj> zG>cbnSKg?Z;mC|BV*7ldYHl$j0P+pQN!2lTf6LRw)>2dVD2M(UKY2RJ#(5?N2Y4d9 z84;iId$7%fy$@)aW5_6Mxz~JY0YV(FX<_b&yG-7Cpi~&sFJs3ttzcJ$NnMUx6@Jl+ zK?Cob!jt!WSYArHe!k@+!WvfoREr&^L!U+f7a~K0Ibm*VF7`8F381ZHahtrB$)Z{s ze=+Jdm4L7x!S+dOFzhQqo3uM+OM2mr2z+KxEkI0Fp{O&)?DLHIrPmVXZ1yAG$RMiv zF9WoXNGNx~@pP69`YxYW`F_^SmH2;xv{B|*#1WBZ+>R!OPbptl?@Ww14^bY3Ae5K9 z)ByXq$Y5R-zUeCcqDwxZ+nN&_lH#omOg&Amm|&O!uv&}j{z&l?Cwq?qO`3My z=TC_kIZYarQ^llM;YOSIgWn*Z`QkG#$y>Wr-_Dk8;IX`DxvU`#^HZGezirs!e+=*B zZp2fYWWv!&fb|zk?hQ+t8nc^#y?@IYJR6@N_4d1rpjmPjZNCkl-g!3npdWTlOx86w74?W~=Ewf9G;fgwLSZ zT58vCEAX~=l(DYGhARyK*SySVmmr`U%uWZi8Iv~R;TzY2zHZN#Ino*>@+50hJjv@* zm#5q)WQFm3ge5UtY`G*h*7Z_vzz)!PpD;4(_Y`wTO_x5I;Eqp>*7c1Ev(wRmwjiL_ zQQd#jdL8RbdDeWV4rfO5f4d7`Us?B+N0iW2{uJP0FsL^yb)&dwb3mr`nOg0s>YB|Y zs>mU(T%)L-1LNa?UOpj%W2{~3jCv?Y&^+QT9d!jK{_NTNQY%g$e zevzcdG(p8?S2%Oih#qY1^06hdWeZa3)#N?-$|U!>n)a*GYPS?50d%fs`j__5G=T4h z+nQzi30T1BS%Ohre{inP^lrozLNG7{<=F*y4#XoVgV~mM=JP>>?kiWER#@AD`b4*u zh7U!BPVjR_=i0bsG&WGdKr&|n+9C3N0}aAeVs0>fkmxkAs{ACh$jUOtZ6gCsQ=xHB z`_W+DaS8f33&EvuO&~j}3ZrHK2F+anOXRp;svX*?pX8;#e+)6YiAO^!c=^uDVEt4s zI?B5h$+PtITm0Q(l|nE=J3CxFgTBp*7?TeElOxI2IuUmZ?X7v3wlQO*{U`R(;ek_# zBSRgyVNnz7uRlx0S*`+oa{`bWgdJ5aU;mX^KheJ4cfH3Q5{Pj-j; zkceMVxsv<20r=~c4e&%|)+I$Y#>~#<<5$;M6&&@SIaY7JHHc8>mXz?ggAy4soHz)n z@|hXQ8OX{N3D}3mz#mxcLrP?oEwSoo%@*EbsAS0Fe^6RKs6?z}pG;kN@|KU*< zt2eD87M`*A2a%>@*#{yFdBqGV9eqbW6>#XEVsH0T<0->q0k03Dfa024Gd z*^xbqJKsI?CNxyJkl-}}N)q|LP@O~!7${J2yf?N4=i{Zvsl$*-@DS8}6TaKPKjCf77&I0SC^RHVMP^Fz925Zr*S~$sJaZ z^B>tRaveSxYmO4uikzS%gV;wfKGMfg>nTQdNz{273!&e1ouIwKQa|V)Fem#owS#Cb zKhd)7g74lOG`yjd$5!ZR6s?AJ*r#;Ac_kgOSz8=O+w_*TlG8WXk(rs>@DV-b3MGcO04o20BJ{Xw*}iiE`4M zH5-k5Dz7wu^I6hIkli7GuSkYXczQ;!e|T(0i4uO}Hf)f#R(`iN#;PCOfGWjH$eP)O zQE7U$NI|b{en@8sTbM?J=MU7cmt_lX+6L~R*;+WPbJdrB^dtF|x zQ{J!f8~ngCcOR+(i~vvHxOilNb4$$Qc{J0$W8`jw1!~-fJG0Aab9PVt-FVU6e<2Hz zx;@ZnIj%ItP7z@mL`pRZz(^~w#5^{6JfSnqF7--adR??10E4MlXt|930do(Lf ziC^a^OsvXlJUUpF0Is*FP+{w)lQsOXPjFWSa4Bt0!FE&ATR0_Zj9W*R-Pv*~*SKH8 z1e;wyHS-xC10}E*AE4BS11oJLerawz zdx6y1t+F2?ky^vMf%=l&R5>WC?gQ~P&$Mag`%uzFGWHRanI^R`i8<7=r3>49k$DQ) z5tS8wnlVR}^z!CxQ>Rho%p2doh#hAZh`)?d2x}CIe($V5tk7?KTR!wAfOGNrJ&!l3 zjhnZPet9G_58YVzGo8ETf9&?#=*Td5Ant)=g{p6-#lr$uSp$^A!7z7iJMM*RXj`|8z6PAz;xx$t&RGDHu}fGOFX6 znP)x13E{7=%QpxFe`&9pTtu!id5pMnKAV2pF?7?H(fT&Pmq2UDxR;Ax3<+OXo;-JU zqNYvI2>xy(3)k>MAP(&5ABOGQpFiq~sYD-AoSF1QW1Dq4d!NjwiIx%5UXq%BS&xhK zop;L*oIl3=a)uMv!=v> z6?P6fZfa)9$6kKwV}ZRL1{VzY2a=(Kyb5jU$j)~mwy+~#TG5Xf1|b*eVu$j@D@@9D z#eQ0BhI0Pm8wwnOzo7~6{|I!2zbls6_tt|+h!d&3WAP#7i$A0Hh7ugUBNt06O8KT$ z{5@Q`S^1{*~g%rYrXLX}1Oo zQcNehG!p5xqvhI|c+WGG9H z(w?_^2mh$Ad-P&HAuS(IR&!YfaVdVGm7N^4#T>fXk)m2W(&fs=yz@>OmvKMI+J_WG zfqpZ-f7ATy_QM-y(}I}s2A0KI=jf~STjt(GaZt>X0l;e`8q*Yhxmc>@3+H3xtV*!Z z$b&x?SUszhr|x48b%0`%$5eW^-Zz&oE8fyu{xWkxUS@=SJStUHBTO@M^*3z=g`n{f#t=jA?ABivvYqtZB}P= zVIKd_VFvhQ-G^Y%`|LS7SM&GaftR&|h#|7QoILrrKh0soY+lMBtS-1~L8cH`{e*s4m?x7)EDp55_L~8PA1ET`4^NIr^ggalcB$i#@QON zn;^ujb-bCizgW(;PB0cxvJlD(oIxx?n#Z{{`n*UL16*==XEh>aRuy9}*R6RGWHq?d z8rQmP5pqntnnXK#>JW1w{Oe~$K1x&jf0}OqhG#ckAmQG?ER>b_Njg?-h6C;DueA2N zfvqL_gF8sJtfQY-gZ(~dX)v8E&`lpa4=r`cTT?~hG=+MquYX1`kkX*A=wYFI?QsX{ z3^T7QTePQXZTVb`M=YfY&(Ux)=c#BStmjGv$8*OkYK4!+sC8urj1SdDV5d%Jf2Ayr zm5S$eLS6uU(PC~(J`G{t?AeazA3+m5gbi*4Q?GdZ5B{-q%?lfBlQ%*xPlWf z##c#Z>Rzvz9)ok(fi!J*SUb<=-u87JpG+K*INd?F+Xp|>ebVVTmNr{}caz?B-d?d7 z-XdDvjeYYC4ae`cT_hkh?Z7}?f1Qfsk!hfbk?3Q@^PM{vij4Z#B)&L9?60dVv<>`# zZa|fh(TJmabn4yp6Se*jODMUztY0dB&wEh*R0n5HZ>t;(KHCRj+_bYN44;z&#{vl6 zv^O`ULC&c2O}B@_qxuB-brvi=k_ArFWVpNoFZzHA{H7?T6vM?DDx5*cyj${WBau3Zyz=F5pxD7nSKQ=P59a+;!=sydMlVS1MFe_)B#bB5EDg=UmCo$`E`^U^Y0$28B(T zFUbtnR>ZnLlA@R=WWNUQt!Qi&sj<;2@>Jd!f{{hntQDN*diCI+G8pdodxYCWp1}P**r@_7F z#_$tES+`Bzls0fZrXkWlmoIgWov6a0WTcRT@iisv>9xqs<@1bF=9lAK1maWEV`Rum z5OyNEz6tkV4GBTkZrK`zH#8P@@8 zhC`usjSgvfoMmgJwgY(?tX>D9ZI`rc)l&-wX=PgK`nZhL)KL_3onCKyK+CeDfzU!`aC#Xc8)6j6*^j_eDLE(V|yWqI-#&n;Xj@rq)ZJS zsVen^@~4wN+K;ZMib+@BXvaFinHJ@w8_^UGFR>GJ1ogbxG~QX!$UAfybP_z@s8Uo; zkSohy>!OY&Mn`7fAUej?WHvxsG=4~ENVLbge?`U0Kb*^j@ug6*X|LlqQk2n;7u;*dyprwDZ2Kuj7M*4BOp)@^L?}DQHfy|hg}w%ZqgDXBgeC9gjisjr ze?W(}x*gV7@^>F-N+1SrOPd5L%eOiSMFK4C3e9o~N>pG|MNX@u9=QnT){(`qg5h%I zZEz<~%y0I^BZ?jK?b<7F~|dZ+p|)4gg>=h6}8rWw#uzP9nZGdmvgtDe->ZG zVAQ$fLaE3)6dA3E_uQ(Cv>c9nz)aN8-LHcgUdNeG`GV3S?7`XIGDLaTM`Fz?C<)M- zX69I>b0un9~(W=egk|%l93w`$1$vo z&+ZQ*0z`=!c6q_2Dk)~ehZasy-{vBb?>W6MjiwT_Nzg?r(xI9o56XS&MO^z~j)A6? zil?|K^{7&&PfB|sT?K-PZ?;_1RcT+SS%jme1ZRv;5G(rL-a3V*a%!4Ke=MIVR?m%; z1!D~8Y!&abUJwiUf2jvG)I8OJ*Bf7E?sNP*3O;#qRZ9b?aUi2V+Yzi*rZb)XUSRVqQQElzn6{0c{+IzkQdV1;kZK>^>)gO3!7fe786%QRH)|-PCI5?7j~>R zg!1}GYz*+6Pd+lA$hejZf9o5pOL#XbK)}=|&eRlgB>O?3rL+%1bgjZnpgy61)A2-+ zitZ8zs#Oe(`NsDc9gW&4UItY6oj=&b+bCo81+}NzfESs%c8MSXx5_xv2BZc@AZw%E znZ07hk85!#81TlHl;PMcQ&@AF{d$$u5QXx`At{|b(eTt=wp{fgq>>`pUqm&#=G+2nKhagmfl#E(9elWIjaxMn z?Q>NH=GKCvrclO@T_kGx2nAw(P8o@~< zBfBbNT7GcST=xR>f9wmo>ID=dwX62%7=q}fbm$5%#R)S?A^qov5HK^1j3dKqGdzbw z?(D(e6lkEVB{Qq#cmyyl;$z73ZAQe(e_HuueQ5}VOJOt%#r%rX^<>dKg*Ep{jbeu6 zfGK%m{zYd*Ez4RO!P3H=IeNAy8Jwtc1I`reD`!&lx(7PCf1nK7Ewv9MtLG+k2(~=F zk@J)`otN6m`KE#ypH)a3-bQaY@pB6SgrM|7Y)KMawBpU5T*4wfR>N7*zPP7X%#n~ zSOUww)d}{5f1tjDrR-eC+hcLMh$Pr=;DS`W2q@^xb8I;Wr+_!c!DOGi%293yYDS~q zb?Zuk+r4QG!0d?b<%V_-?P*M0I_CqNOv}W;qoOs)aNgi<0( zuM*O`OLwP~v`8+nz*4)gONVqL-Ccr!bhosmw9-gONJuvyKJh-!`~LsueBaq~*xxmC z&D=9{U-LT)!?RZ!>=I^h6R0fQ4#f`U00{!5l$900AOHx&#Q_3w;xRC2!caEQzwLMo z+E5213~nd*FMo!V0~CU~vq?ixcSL2l9pI&-4FJpq0P_lh`2;~A04E3}@HY|eAPA6# zIKj*S${c`~a62dxk3kBKaCLxLSfcLE`TG&TWXcQx3kdMB{Sgk3u!TCnOd)mvWeCa= zYI}F0DZ~b#0XKy~QLg`$f=SpCg+d4dfzHm(91vS12Y=kbLX?>e;0!}q0@R^MsDl&K z4Dh>UfC|JG`d4clcnkndOBnJ`hX&jnD&J5}RxH}!7p`Zj% zML_NTBrE+%U<3R$8~~UD{GW7xMgL9&v-=|$VrmMvML_IaVRjY(bC?Yjpen1xfpS5y z0U&l}zki7k8zlTL9^wRn*+5M02!E6g0mw?I0U&oB{?#AS)B%P-AvusRo8LVGf2X+{ zvy7dY6x`MpYKKDN{jN_M<^VOlo4YIUugkTzgFD-~|BcLHc4p?k+c0xP0JZF3_Kr{m z>AyI4CcJ-a7Ely`2gJ|E#m@tP+5@02rk23p2Y+a~BA|ab!N1LSJ$Sk!;0S>ET^mqO zm^t+B3(p-1ae@L+4vtVy_kTP78{vV$05g~=3Sa`YfZ5^wll{&NHUAUe-M<6O1)vAI zlOGrW`u+LOlfj+B%;0u5uK&>gxMHA|hJu8&9P3{#|HmmQ33mawvvY9**g1JX05FJ~ zAAi7i_u%>8d0s(af7S7?SOq(CIN*1(-}T-N>hGGJ{t^MxUmL;<`0r#Y@H^>30ZjiW zT_3~)GQIl){(si{A0hvLGXI_B|El!=tw`3<#^w({)1QR@j~`+SvvK{4aVK3z)ZH2= z!|#^C?tiH|&_8!q8EOV|wEbVN0t#}s4u2AM7I%_n2Xk|Pxc{`nkg_lrsM#wR%GB~t z+5BnO`n_;AFgxfgI1={z6>}E_0{xHgZp%!q?_MLwJ8k~yf*|i!4)w=H{>IR|rTXtW zWb91gX1}+OlZO`oad3dR;@w^T9pVAFgYVYV4C?ZSx&c5AJ2>ht1aQ~0C%_!;fPeS< zqWQQ0K!x9?KM+3%0DSci;sgNI|3P<5jsGA4J^&E%4-xznEwlM z1AvzQz&qIi?fwIK_yIt~om${#|6<$)IQ$Fp0D#Cp;D1fR)X~A=Zd89r_-;&p<3CFhNp`*5Bi4ys#b%xF1ABRy?Ku}Jn? z7g*NNs!c`G{w!l*`p|u~iniL7`-GiVcEf_!Bx>sRgG zZnRg_VMOh?VrCZI8VrOUFDg5~#yT^S7RvIy3}{wqZ7(lOQzD}h(Ej;d9e>qe(xFuIn>hoPB^PnIj~$k*fw~^6xYsYX&h%B4*uzOPECxM zBb7{Y$jV(0HJi-{qQ$7|6@QIAMQV2@!{j>_K7OaRei;;?=sGjeIl6S^sF?jO3@ce0^OmQ%UrrCbP5RskQ^14{ryhTjWwWN} z`#AysM(@|eTwD*GU`eG~1i+dfz4!BVPu$(OZ*mS>TO}E1?90OJB7aE2-Il86JW}$q zFZRH|&()^M72#5D&bG@Z9%U}e21>oQ@O;mYZq2qlJ4@5V@514N0NRWXTWz8K5l=Z9 zL!N(}UFixwrYS*>GD9m=$tu1$@*x}Qfj6UZ;W-uhgkNmCU2mhO095c0)oxftuO16& zkF{Cw_U3fsqlBVV?x8QKTA$x$Ok{Yo#L`hiW*GB$1?9xfgXTgJ9~=&W4?ohjkGL!- zDGt*_2x#?Mjc9L8ZsA6c_a&*c^A<09dSa<8@#hbpnIT?|PF{B?C3yEh4!_ zA|tJ{*bKu>Vp8_q1{!c6_yYmlMv~YoTaU0v<}Q1NFTi`Oqcg4zkZk*n_-sWV1!RW}A z-he1sV1I?Z%P(u~i8VxN;4Q`6d6MSHE3o?)pGOp=c3C0sCNpGb+)XrF2Aj>X1HbQN zeRK~|8rx?riS|X z>v-2EB*JOPYEG+oRm0|GzeXa4_6Lz#>5TzP?xGVBz^sz84^p=9#Wm0{Ly2cLV)lvI z4|hv4ZqZPZ(EEnY((m`hp=w8F1YH@vb#Zo8hUw2 z(|>z(`~YM0`A0?5&2=L@yd%-8&sUo8QIR|rsz>#o2QFOmyuZ;Q9%0ibYYkID-g{Si zr}LJC1;HPu!iFUR0zGICIUeiniXF!T2In=ew?4&*d2g+Z22 zxDRhd_Na#(lAD}dXUi-NSw6`teyi}%KY#kF8{+(^hC+Eemy3<1rYqEm$CINdU*P?d z^33PFkmhP)0;uk0if0rC?*~p#ML*E4k#;%Ra8vmG*+F0dZ@bq(2~@YYnsA6S2k& z?G4|Twd6GC*sQdDl-7`dEIl%}xk2-!3U89cX>$dZc|CJ-5p-n|GOlrEhUQ-%N{M3a zi%M%%nXuaQ+21@1C#T8Set+4CC(lgfpmq{fL#@VhlKWQksfm&&P;{8bn&juxQxP@i z9Mu~>GM=koI!eL#X|^0KBQm8|V$n6d{ZCY+O4l`%PbOh|lMiP^;|Yj+Moxp*9<2T1 zVwtw0)9Fl?-s0Z~qdyq;ILLTbCmXuhKNwnnL$Nud6f0!(7SBvA;eVw9eQjexr*0q9 z*QaE*>h>FtPIF)CJ&o})pCZB#U`r_Gt@{4N2z-${?&S=?Z`%GqrNM?J296Z-!@)nC zl9=dTbhTmfT~WH%FoJ5zqQ%(LiXz$lxq;hGUTiBq#zJ-(rAaCfH7nDAp0A^7!9J5P z^(maNTz(U={*(YBkAF?`97o?R@4ABsI5tk>FJq;R<(>`>IRp>-X;#E*D_xKAxauf+ zxOtBqt|abz%+#Xp=UGXbZ3bwIl)8Q6nrYXZdg;}?u2M!az0Hv^&Tq{Te%~)R92RiOF=!5D z^ggg-lw8;SJSN!iEA)SHYe`s(bST9l+*%r=&zCI1S3(DdM*R@1mn1s|Of5_^ddpE} zj17Ywc|it9V;{Vjqj-;$##p8bGVaX90;bJ<$;xYXV(aFnhK!yB>-^=T%O*vdQrob3 z@dcwii5KhB?Qa^#+evWP=+&XPwiD8N7#}0rBM@E#`=$FK_}G81=VB$U`E4cKz8(Ka z!!&DE5qiFw?DZ6TG^Vf>vDkc)__&^2O71;@cdW{n%<^|#iylG%#rwOwMC}rbrxU%# z#;dJXf~Twjizi5BkPT6+~vkHE0{=-uA4>D-A5 zV1*Sfz)JI87EOO-)Ll&gTD0=J2lkO0x{c{p0iHQ{`W+~Fsjd5a{pU2vKs@Q?H9%88 zt~(6cwelbtqeM8njIwoW+TR$J=M22^*0E5 z0OKzrNC9Cry-ZPztbBwm8uQ|lg*+~-64avl8Z6a@9>jm(V*Tt|Dp}B5VDpF3Fng1y zwU^SU_>Y~Ej)wzsB3*{XmS4A+t;3Dzu`WKELtL_ZwAV%TkNpAwxsR4gDUIXPSHuOvo+ZKc#g$?qnu!MLDEyyDxm(Dx*7GwV^n9FAsCc9 zD6)Sp6D?lW7{{^4X}IK2l`ojdL@h5`0^OK-H8@{2AyjtywRbw(-_uqnZIk_1jq(qZ1R)1`DLyQ(7mXvuhHy}YhUboJ}QHT_5 zHRz=jlIJW9XsHylX{)X@B_&zCL3@>ddh`s6lRLY`jsm~?3Zww`MwdWGTVDd# z+!wJoN8{kB!~BZqo0R(|I5%P|m#AcmViZ}-$G{}LRJzp%URpf}a<-lpVvRuq4e z(FlqU{XQce@GPO$YgHO|oQ_}U!Q1SE>f1vkCUvIaR=J3U)P7EMwa>iR`NsCN+kK%3 zBZJsx2d}&TPUU0ELV&0%{LaG-Ua1d!au)9zlFmO<3KE`6iU`mj-~ZLS{gghHrH(iH z_@d9!f$;d5A1*DlL}+7xzYrGBbLGOHD9sz;zY>(_*k=n+m|S?J+mXS zKqY5yf@lf5)p~oW%WTQzlY*s;NUvW(aK1r|fdfD@rrq;lm`h+yI&+V{UMaaLq2VR{vOS*Url6bw{$aYx-E=u z*}6Nmyz5j!v1AVZN--rulTNM}q=>;c5<*!|EU>4hZ*fyI6cp5A$rhgPC6hlmo8PIV zxQ8L3n#oiei2Q6`!N4?ki5P#Do|S*j$%vK2jtIq9-190AFZM3>1{b8l|- z<*H2ygN@q}C4sn>bC@lE?NCEph|<-YV1e~QIIt4q{>`{0AtdApX$`P-1_u$H@3rt11oiqWzaOBH4HpZi0VmCVH-jOJ#mzH&)op!>{j+ z*1JV+V~MU=6U)X_1=a#3JO`g<>*FuG8WOUJxEO_hD%QekifmuZ=2gWBvXY!x;TH=K z^Z&6Xjnx!^7EPu1?#NX-?pxb^Tb=htbTKAjklO?gXJ%pr<0Zn6oiGT*1JZ+RVdr?6 zu8DZg)hB-;&baO>-m*jXecTs_jU9mAo&X1)M50D1@LllZ506;~$yoSm_vA;6&eVjD zo`Ri_s@@HLn)j+^ttWXB&0Zrf66D`i_?cPjAo$koUDd~gduf(k@I{W;)0~1aujEEZ zJGt zFBWJpP%$dkyGG>dO6A4jnw+oHzmH(Wm2iHnn2UGX0-*XBoraq$!@EjtqvH@HjLm&> zrrd8tVW1hD?DZnasf_wkOwAR~YAN7LM&5GV+fVpO9_;0&N<^6cN7b5`#F@KpUVX&G z=xKkqlu8#AfqWDBALUrzv=3xThF2_xwrz>jlVh!QJOlc#(`90``jF=F7z%j zEoBu*pcKm}sG}R&)tnp+Sg|O|t5Gr&4G#%ISG=G4Q2df>Qh!%N zMUX!`m+XZF=H~lF94)a0=G6&x6Nb^t8F+Y|chZJvDDT6Su3j(ZBwW=Pyy6eNubp{@ zfX@e(J*<-pnV{tKz^4l@i(BRJ>z99GX?l-8Wl({XkKuHdh*8Z=hOUFPd+h32OrrTL z!xy+?g+Kc^d4esQH})Dm6gYSsKN+jN$~~R(Wj6lxAyG}Ow|0~1>i#KrEYH*UD#HT5 z1%F>s<;601Ff|U39E-8okh-jL;lrg)@0-a%i25Znb24Eq$l>3-aK8-pyw^+e>)EQ5Q0gYkL$L_IS_OE)_IC(FaA` z47;X&3)+pnC!c_THLTxin_6ZVJhfq*UCfs4oEL29AX3fSq^Ii(#d#(zScn!zj26Ja zjDDXK@^mFt*(LV6ulKo;QsRGw7*&TE3Co3G&=hEo(}2%~>1QK10>AVXe=`de2c{Db zbp~?4MELk!m4T94AZU-}tX6R+ewwvTTolT|J_9crkKDMCA}=K;#7xNU@wVwF+UptB z_aawkeN6Av2~UvDPoA*|T*SF?^*P_l+JI0|^C2sHn8{4{cOP4W30Qw~^Ef_T|Dx$@ z`H*@RK}XgrPG*{`RQv!&Y1J;IQtr30Bqiy=l+*sX?0cO;{kRhM#|lvIMNc#19A#wg z4XMfcq4cNbDq@nbw|klyTx~V=6jxH4xoE;752ULt+B|=Ww}=(XO|%8vzNcFsuO2xX z5*v`mZZc5BjTG*-*qnb!RzE&}C0~Oz|9ZqY1DGDCGK+rFpu5+8SbcCGK6Dz zY@%M#q$}x{6>5yF=9{LN7SAelZ_lYlM3`y9S^#y18)F z)QH3u8-&RUg9vGvFa0(i{`i)p()ty*>UM~FhkxNyQcQnTtL#+vn^VXlwt4+#j;#4vt+_KRUYRVv}-vjk_3V1iqWCR*rFARaoJ z-_?&}pw@`HP?NsmQK)AQnckUY#RFPOT&>TKUy$VBt*^eS* zpW_XW&6IV4xi1|K6=M^&4oUzd+$SO<6FVS{N-A7 z!^;*@SZ%Y9BWA3XBu0aNaYnlQBvZtBMqfte5z+`9T2)^v;-3AqqENnE?;qT}F_tL6 zk6C|-(YMbfiSn>k#y@FPwgodgr-26)FgShV`5ek@i$W@9%3&W9rc% zCHIJ6b*6*}`Ke?K%_Bq*vhLuumt$Rwv;Thq^QKB&z?*4T;?OTu6ux!LeeS4kEo9V{7dfwZ~ain9QPyDhVkVC3C{rKRMka8z)vqG8;`zU}! zL}1NWw4Y~mROOq0;pR+plHx^|`GO#H*9!)}Bt*5`l9`vGWIC9G**oe8b^j|-^+dPxe3aI4sIc-|T>?;UfU zY^&a1U|G{u49j+~it;6TJ@Cu>)&1gYyrmW1V%_(EoCChuADc_GeA!z>Y<#8#d2C7M zoTg3*$X(v%MgEN6BQ*ohIdy= zyRRN~Ivf;#oD37=GoLfeewD)BxwyA?51ol2>hz*H-WEi~^x6|&af$I$=l9}i z!gCUBU^2@Wf%TIv--v&M3+U=hBo(FO%5y6oW>@;{26j_ER8ZqN{sK}MR+Zyn^jl?nH= zFwl~G=5TI*^^=D?S?_jeI@#ovKE9UlTPGs$7&6SadX@iD;NI}Wl?MioXr0qf&8QpM zmAwSLrKtma<_B}`&XGB5KV~Ye-BELHnfwcwHyAqTH1VP*-;tZS#k{>xIXJZJ7y1(kJrWQgTd6dK0 zjytTnezt!S>AcKl)z7W1vK`o*Y+{HZv!YixIJ~?Xi^M6f(s*E&?k*@BWvP9@_MoQn zfsxv5ej3YXnVau!qeLcA-*qteAHTWGE1?%zB*Yr>&CZd_ty2XSCL7ZKkQy0{B`L{J zX(NF22~sxq8A;{{r+6>Whp%A6P2SWTB~kRO0bjZRm}%U$JgvaX#q8_k+jq~)>P`q-lbwjky*`GD{b)>N zQ5Yy(P7c4V2MF{wLo0_PcevS z9*}iw)$y!iN748ADUHi(A|s+sAL@V!m1}>=j>^*J4&Q5S}Fy?_TyiPB<7E zYy&BJ+2Kta06|T0_1yhBpIiSA%eifnl%*&VF*G$b3NK7$ZfA68G9WiFG%}YlU;z{a zI50CclL0v>e~oknRMcDdHl-jE(k(*_(lB&)cZVP_zyL$c5JQ)Ahag?jB`HWqNH+)~ ztsoLolG5=X^}hH1@B4jgeczh3esi9^pS{oC=RErW>9lk?q^;qW5Cu34!2#mr76Hhr zt1AlvxVd>bxw(0;fj~Vd!V&V985?K-adm^jVIu#Fe<14$0V9w$IWPjrQ-{LmM)EW*X*>FLP{ zc5>r{f4kaBu&@I>p$I#G4#W-O>H)C^{Aw7W0d|7?>5LN_2+*^Gy8W^1!fgf#EL11pk2zQt@#1(+t9H6VL2GDed!2Xcc{t(y!f1M2g#0mO4-Cxna5tfS+4PkXMKY0C538ysYfFex=v* ze|Coac7lGHksbK^I>Vg-HpnI*{!kkT@(?8XhJyw0?_?Tqq-r4m=6^(P%FWMhh5Ug2zoq^=;D=xM<@)U1$Tq~dRvfD+}!`=L(Z3#1M>ZFL+bL6 z3+#p*HpK61{DmRNar$>1@-Qp7^{=_(;THgaU0uQ6*vP9#B7T4`2sxnE5U=0L25@o0 z;0R<00NJxYzy|J${p*?q_yJtff4@wBkRX6d_8%k!;F9|r333Ct6#hZH050Xf5f3tp zGxRt7S9MnIuCB=Pe~SuP`hW26CjtWTf>>eC&%mujL+$HB+s$HngLr=J_~ zaEuq3VIlhDr_8@5>E>iFs8%S<_ktA6>^n7NT+Va9%pUu$y`gRJ<~!w}e^vNyOKX`p zb9ah5o$Px?O+SO*jFNAdD)U&R)U^*qizepL`v;QNwtc!le7`64J#)#POvGghf+}Hc z8XfOz%d*u-sf7)8S9K6AmVZP^LcYbCMx|+F(yNMfTwo`8);wAn7#>_A z=%wk32~zP0UO!+AM-a%1e-2X1@nO~&EEV8g=aqWwWD@#XDiX;kv6xJ;B$p+wXZ0EG zU<5|g3_ESaZMYYTc0ae@skqLT{v6ssBY^LmZJ|Bdzy9M*-Eq*8$_ee=FgbeA{kDW^ z-UF%J$Gb&GN^RWKHIBWNcmVxXYH{657)azK^T8~wk3LU4-Rs%me;Vxd(H5Ek)Z$$N zfu((>JtI2nmnIbfF+H})pKGTsilftPcb?wrS(8aN__LBn$y_^pEE@z$JfD;uu?idI zh?Fi+SD$Mw61s05N8G|CEUa}eN2iJQq2r-VVI9Yb^|N5S2d{6ItK{|jsBU`AC&>bA zKGWvxuwL4q5m#Njf53ee6=2cI?C`=(>N_)5W}>mu+wbL#ksZEUPZ#hI=V})9?64gwVyICfMKt0VTqT?R0NWMZ^+nBcFQLj$c}SD0pm zG%owfHM{u$PzwuizgQJK!1<|R)2>l))W%#CTsR_(wSj)kVrl3@?{@w4Sh!|TFp=p@ z8}ls*B@^21f5wCd_4zfIc`^^0?-e!g!t?UIk9M-BO`j6aO}e9y`esTPZ)*m)8>g1%J4R6yCg0%6e_TLarj!1-aM}P-PDK&aNA={l zqaI_& zJwA(le|r9ucH36mb@{YsV`e@bq$Mgw^bO{mf)=rWQoTB6oRi{HqdQ@34>W6++f6}P zlXAYn>|DcV^aYm5py+HXjq7OT8!jNnk6h|ylq(6mH!WFABd2fMTC+{YlCRL!O3%?7 zjK_xF({se>;XV;H+?>qzRTmhr z5>aNGaXeMBdzN@{6RF-~J;7A#X#)>gYkc~~+$-a)Wd{qR>;R9mso5d zye*tacZF==z?a#6f>Tw;IQD1fH3@@z_>Yv9?r$$k(qKB{pJrZ0_NqbNdhK+6+RVGf ze+tciWJpWZ&ZE)&aH-je^bX*=8e+`jx;^Twp!>eYrW6P5tYDfMue7F&-;ys(l&967 z@sOH~yqf?UU6#a!l*H_#12}_;wq!ptY}zlJU)GR{r64vf zxH#zYC~|C8Aj8A|gt&x{MR%;AcIU@U0)z=M5ayk1j&vauozxDh;CR*C*pph(l z6c-~=0xj)<19>~ngs=ktcrTDje|r7>O7NG6&OpJ^)}fW@raEW5b*cQXl@W-$hiudF zrSVaf0iDNq-^}=M-?5XWkJE=Tq{HYM+kY01=(#9&$TG6l3E>IexSrFFv`{vY3Xq2x z6iGGvt6w{Di|x>CYXVdu>rYCdRsJ#ilqxj5TAHbvxiY+PlAQi+Lra%Ce>Q$Rug_@S z`lR!264J!Wnw)5sZ=0iJ=&aRAdfHW0XVLTN8gynZObZ@yoC@!dW{J{-m7@-5W8-_a z1V^d??Q6uo+MrK4At>3?XGN(GWP-KChf5Skr}?D0zYs+6DnHH8Cqn;pF1f3?WKHIQ zzQFvR+H%@8;(7gLgIOF=f3)y2u8ivXbd-GzX*DX{DylmW`i0#F_C5ADfS~L~bx67o80wWqR zZyLU-EnkRVYa24C=>vf{lnAfes6lpfRC)P795{)kczf1z%e;10LQBQw$1$`p( zleb*}@h(5+qs24&>>pR33y zr*%MML#~#XpM=Vcf4J=GbWFJ=7)|k2=H>!qh#ae@VI{;OwPrSbf;^`mdKVomQcQEw zzUU)>9?jqRawE1JYpmi!lh`qu18&}|4*uYQ$lkl;?_d>s@uslxoH5>APj}}S-dSeETsqgeQrPl_+CSDvd;BDbSgcbtRWD~@wKth6B$s2-s048nIHN!p z8`gocfGzo8q`-uSw%?zPL+c^!)z7V6wMAitjmQ1yt2PFotyl?V8@&2 zN}AD45%IzAw({yRYbbT@ciKtL20^6GOoArDE{g=(aOEF2*Nq0L7zye;&%nJ27l1Bi z6xyomug`D%>TKq@RuvLlD=x5J;_DcAT)!|oImS4se|~^^I_lS3&hgTD&P#FcW*@y0 zKQ0F|Q1S`pW{hp8&m5^^eOYqE`N1~(t97dJHw?`snJv<9WfiJ2QjMK*!^|G;O2u*# zmCU)#v?lILP}$Huqn?{pA$T?QQTCDIO|3h5>((c2()cNF*@U)gL$`U-Z@4|Rt4vY# zLCShme*_9+&sFw1AS1{4-{Y7#>LH8dqP;E%@kCFp8KnCo_~;{ z8RqlBUtR!py!$#p{9Nj?yU7$+qF@)8~Qq9 ze{jy>nTt#SEmLs_ab)#P?n~1qEj>e1|0k{dc>UXt00RrMc|JJ_5V3vcmd_;b?@_xs z6cl}jA7VBL(}kYTNfLX7PNuEOH@<9qRAthM+OwLJd)llb!L&jjB@=x3fLzxqb2j>f z;_9li`+j<3+AdDQeyxXbB-}xm zX?TwJ^bK(}KhK=efRO9;Sm4$(flUyrJQ-RldSQf-LnzLR#R2R4794Jd-x^+6e{5lx zPQ^Uwzug+yYo}XPX!talVN)k0(>!A{AJ)56%FKfDrY3`4J8lJNIVnZn=faj`rqv`M z{b9|WwxZX61tU~TM3EWeo_)xI603?onBGEXVcI;e5rH?<*Q$teLY-ZLwd1S4EJQ2E zTCc-?z6Ru|ysakCnfWpkXg*P(f92DsJ?gN&jNx*BTAjrJAq40!+a%pS!>u=UR87c> zL#ql#2r@id+bO6Z^wLO?HjvY@M?`2hO4lY3=* z-t6)#hZifK46gb<45|c1;fu&FL75!yBOXH$35;{~f8)1d52(oVNvGK( z{WlKl#a1p&Ce|3eX$JBZ%GqLAn3L{#xp1rgTnpOjy;+)^ZAVl^y+ULqCziC)KjK1! za;XO;SIrQPc)nZsd4UZXq7h`X#-KK&4Ji0&$t*B25GgrtWj=0SaEbkFvEAU4oj6B9 zF!QzffLTQ>t%!y1f0$&+olK|x73M4^Q+_Mz{q?1j)9Kp>^TJBKF8Vx27aUtCpfszJ z=WW&pa&I3NQOK^p*E#3+P~DKfgc^LIyKLUPXlbYubxFZbdW?^?fk?d81PU7ZBp;{k z*$32h@9WzdyR?O_?`vmD6HY^_)juDI5eA z#>q=|^RCrwj(~HA@533HMK;C8OIe?!fXS%U`;^hs=dTxeyU~3ahluoB1Fp(4pzEJF z`54B+_Xoz`e=>LFu z*}N2$7VnhJeoiy>bnFX@#hR08se~_VP|Kn>lrHaLY#h81YSp`zZbo(Z`P^aVMM@-x zgKY7S6UlKh%9`*8fFx=$U;Hi~yEu9l=Y`*ik{-Epumi_FoW7@f z{kr%^e<4ct;sXMl8>P(nk(Og^k;0)|8e*L9+%rF#s>b_JU`NW1&xc)Vs4J_pmM;`b@dq)Cv7)V4gAaBh&JdlIM*= zz6n{ZpTl~hjd1I8N{G{5Zcu`P*_TzikIEx8e=l_!ULVIb6}P?SE+P0Jazu?sek6j| z@d{v3v~}Me)qwX~{##?GLk4$-mS{DLr6x_(Qt1kb6Nm^l&6u>qoyIU9+1}f7jWN;# z@>T~cO_%dn`siRGs!}Ev<%R7esifDhVvDhzn8nLX`1o9!0$rXyb{}5n)-vaMF<-hP zf4M;Kp4_q*_O`u9R4TRsP&58%ZX+)`HHzL+fLSB{&fnUA33^KPl@iDbd`q6KX%0Wk~jkg-ez@h4-3z{ zV(-1JW?WMkNG_!CY)s62(T6h9s>y9NAtEL7mW4iNvZPK_lw|#sDA|wqwn8hE)BbtG z#u>=>$F*`UfZ#OVnSnYP-%0|if3>KIVXT%)VCGy8sV;hbe^bV5szJ(|oo^h4ft9sQ z%b4du#cEDQ_2BdIQ}0#VIX#KWlXJ#%ld4ogqhwz>&=!K^KvK2Z;|5SS;(J>#+d5_c zB|GDGizI@6h!5y1yW@*?Y};3%Mcx9P5hc{iSEn}@L*rD^&{s&GOO804e~9eujZ9hM z*2qv}<8o{v{H8s!TjkPWL_CXKzn|rKGC5{uxZ~M-eNdq-_l!KNCqPOlfcYi;?bE3n z{Tvehu1r;W)G2;Bz1w4KXj-~Er7K;yqXN7AfmltT5xKUFm+ZH;6eE#`$JxM-j?Ytu z-noo4&)-h&!tx&_V^eK+e>8W3kHoAyd(9?xT^KPb@LQYhC`|g|)6)f0{ID)jNSot< z#s%N!pMNdh@y0pUydHRNK!i4a6l^(F3EG=Seep?2_e2PRL^8fc-n<5p(U_?BpFA@2h< zR=q~e@~1S2{VJ#2_Yp$(Qrw3;n%be`V2ZpGdn?^^YlgCh3%JR%J^HSL9si`ppq>}^ zM0UdVS@%eZ8@;)4e|I|lf28m1-M=lDd&Z88Z2Pv7W+pGPr|x8=D@Z+nf}+nTBol4f2EZ0Oor)aTjFEE#Wa=3 z%YBI%vP=mowD706S0iA##Pt2Sy2j~vDW5rvESG7X4|5lFv8kQYX~Mn+XAWIF796L; zZ=44ZhJ#;>!Ct31sm_j#%M*-RPaZaz=h)EGV2@AzJdkaa_NpXbrZAcc*nG_hv%IU^$#Jd}RS|7$!t<&(>}>tCaIU75VYl6<-zs?Ww5^*uF{Wx6-UBb4IBZ5riP|3z=Ka%Ax6j~nu# zrhvnPf0vgP+Ct^?%A4)tXJFn3t3wyo?nD3;OVMm1FlUEfEVtQ5KCka|9ewpsLW4r` z%8iaNkV{Mf-L^bV!3sW+QRv}h*)ZjfZj0JHtr;C?X9s81ArN8uUg!3*>1w{}AXQ@F zd4I~XXV5rHTtGwkLY_X+-k#Xcw_i|dEoHwtf2Tdu-1X6D+Uwj?1N9xg(g{8+7CF;d zD$T{k`dYiGMcScn@s^T}#ri}t;&P#g>0)#@$A4Jv^VA!jE2CNrsLe@&lNe6#7*DG6 zwPlLM!f7y-iCq4zB(}&*NCRXyg!-|C${OOGY;O=67jD95^-$|<&I%VLglWB8EIJn( zf6k!z{x*Y4LS;CWI%K9gM94CXq0T*f?_SjU9wC- z+)mz&y`cZQ8MSiQA~x6Z6lvboer14=^Dfov;;2s)c6Vj|dS*MuVbO^E;($4z8}-l6vC9B1w#wIxrq`QXs=a6aIN|W&&f$+njor`&ItA_NHqWf#w@{Rk)g~fA`Y5 zhkk03#VJ7bx#ww}FI9Qp)vahQf2a*fg=I!|H<)4MX))efPb=XGRVqi8>!PtsJG>%_ zZB1qQ`1mK^w!XiB#koG!D_{L!Gd6rb1^=)~1UqwH@IzP6<&2b1*!Uw}X1opuNeA!> zvvfkGps{R{Fce;-X&PrawEcac7rd50Urxkj5*Fe=>NcwL39U-+?b z<|<)rUYj(v)uclaGN7qmifzYP9lQrTf4qbuozs?1SW1~Yg#VWFE)(aIG|z+6&KwA? zzpRqW`Upo6R@An5HbFRhYyQ`-B_2qt!l+pegT{}wTsM0dOgA=T<5ckA$b9$A1?>^GSe1H0z1r; zk5;nnCA=o&{pc75f;B#W|5#aj6unOdAAFkVGD30rdd%ZNaAJrm`+2py$o$3&ExEa~ zwC$ghq?n~45phA`8$jsSf0<=kv=hcKVFN-Rx`ek&v1@7eo=^+u?Rnl=uS32zi(8vB z(}UUwT^*o{QUS0LFWc+OEwt%I_EA+dniL-AVbW91X9Wm~3^X_I`7lEd!gFt_gCELGMy4{@|+JYDD)5v5pU#BUFy({H*DBUap~s z-04*Bv(ZGH_LSbCe_p47TOS+#4;gjR2bh0!tvIEE&xmW;gK^aYqYyc|uCYC@K&;Nv=;?1OO!ms7T2I6dZtde;LdEWk3h`do}=O2Ihal z{q6lnAWOSHosCRP>}?&4>^v>)%mHSWHb8)axGaN_S|Y4b;mjDLgyO)JMX{2%+k)(?2jf)T^$(J>?|E!fl{J>n}9^{zh&k?7XUjG z6B8#lD*)&S0D72MF#Zu<)zbm^r;_;(e=(>7UvCF{2Y?x<381f~84&ab-rL#84G3^? zas~Q&|6B22f@fw1m|B{+0E~g=mUi&Jql3giv%eU0_)eA{039aK?lA+H{`mRllOAZj zOzrJ#Jb#=2dA^L|lFDKd>a>4#{Etpp*xm!+P0z*zpl4xc0x?euy4wD4t(1!q=pqQ&ncMs`e@07ZaZ3-NsiLKeiN#;r@|Rrgk6UJAX$MraceecF zu>zn69nJsJfv%Q`HR#dd3|h%QRY1_q`EN=wI}>};Kdu-HI|snX$;rqQ9(2ecVh4CL zgYKd!(Bsb~1~4+%*}H&T0HF4K0cQ42@PC{r2RndK=nv6f!~tLw{!N?!e@2nt#06j! z{Y~5eMzMd0lL@qazlj;ZDDj(E0F08qi50*o^_xK1WquPVyX`K20lwJ8ZfwHUoCN==0>Td$oqV}6WwW$9lP%Rq22~>;bKg12v8T~_S zpnOKop#8CQw*GAbaxnf)f1m=5os3MZfuI+TnagiE>p$|pF3mp*P`4)k5IZQCiMmTU$ zuaJM}?OmPzq$HD+H*@-w8lZVMZ4VC*Z%157e@YyZyf$KrvkZg`nxX{R=^b zyZ>u9K;9nzLQr_me<5hNUcZY2Me+hV{gvuJPcjo%C(v|V{(RSf9&`W0e|~cS0zH5x z@QZWyCcGh5H6d;H--Ynq>37HYr^$CU(x~aZ7oFN&ACcaDf2OX=3R-u%75Y5bg|f0O zM)gZ@iRi`qxVi3KcuSH}>yyv3L4xw+ZY%u41Xka8!Ld+nF9F;KdR4(apBG0T^+0RL zX7HcVRG_wp;a1e>1Dp4@=xrwQqnKL@kTsfTm9G zr#i>g!J$6-yRg)j`@zPc?M`2fqK~~FKbX5S(}-EswKOA^&4z26f)X9ZfIzEto_8 z&G={Pf5k-st_7=@VOAJbZl+l0r`)wTN+sSJVEs&RDdWXP1r~#7i6@b4;uibDWj|RV zo0LTN?tJB7q;8O>)dP1v!0tNB>c0k7U ze>y)^bmXg9WYe0OyHMTIhw99kKH&PvzzCEu>AQATCJceG6G=cp31!xrY#}Yi+gwtP z)s|Pb;3H976Mv<%Zp|9TFTT(+e%%f&aI$sLj}dA|a1(pa9G|~Tc}V0c+4YyKAg^*5$j|c+)1_MeSbf%t$N1n+cSy6V_GLDyONWBko%&=R6Y{t z=FPE=lRT$;-wl9p`r}TQb2LcZNrx>lB;#!qA)+lTk<$)O zNZ`3lg%l}68P?6Bz%;F%TFD`$NJeJ!bof}_M4yDcq0@nLJOdmZjJof~gT<8@nSZBX zAvaGpuJQ`MlODlMr*pOUlPhQG!SPyiO-%n9KEpwi(Mt0Tv9}AcZ1Ro!=UDOj$DVwd zWGoYEoZ<1iP2CIgFD?}nA4|4l$9ry`>|j)n-P@D)+Q`0|%Ik_WWfqJrDcsJD3l?gy zcn}?MITFj7PE~H>+aLSwFsn004}S>r3s&Deo)R-9c53C?dp(eyK1|&82axwv{G1%b zbl+UtWYM!P?Oq2|8oYdzvKU5oJ;)WdJrjq)=BZ~!f?Y^4g)JHIx><}R3t|zfBi1ohPTptxC4QTv}HjP+D;rMkpwmhEDbD# z%UgNDJ`uIEM-`iD$3Wu(!hcxk)nCTPUJk(keQr8c19koNLqa>E=Z@UL8%IFd*J}Ot zJ0q7IB3MBM=Hpz(Y%uXlqT~ivPeRi4Z4+cUx%ml+UhS7v9Z1{qw-&GQXmNiSI5eBm zhcc2bX@N>0)PlNjoQCiR-+guJc&HVXfn`fvy4>@?wW4!nG^{49!hiNw@tA(d(sspc zhJ$ulsL242d#GJg~e{ns~2U#k#R72kfj1%G{j2M*CCI=n971v~j$Bt14I zxnf<$heR9F+IZu^-T{^F=Hd+m&9FIG@g&z3joc=^aMeaJ4ZeRF#pu&6V3#?FL#X3+|=UvEc8;zJoLPV=nU{J`}u%v6_;wqaX3_j@& z3pM}3ugkw7NFu)RC?kHanrr-&mheM8FxH03#=NpKd&zjJB4(Ai>7aBrWp-G1^X0*a zO^F%%`-mT)jC;#by|Afhq!5tktZ#jq=WH6AX@}&M&pSMUM%WG zsS`qEVP0vlo4n|cC^-pEZZauZ%U6mdp#^jNO*;*=|#aSmRW z2s~GRkC%{S;eYHGR&aPvhAW+~9?e^;F3du%6{Xql9fZaiblCD$7FdkklW9!=E+qwL z@<2Qsiw~kBHLD1{BDjycXcSpnvoC8myZnhqrI7TL0<+Ilz?W z9E3VIfQzQVEbcLWHgk}=4lsYUO^B)YQ1|>*zl1uOO`=EY<%g462oJKPKBAz16sd&(NDD;fxd0k3m@JWM%^uFg)2VZ zz<-a4gR6<`;t=A$$w@&ozc#~?lYvcY%Uf8ELDmie9ivX;fW0Rih6kh zZyE9W_2mnF>rCUdF1sT?tW!hTs(d}zWq+drwOsJ#Uhn4!G`FpD+4Yr{y*#$08|E}J ze{?5Xp|>;V&lp{O*H?Dh>yR|*t^6f-L(ac0>@zWp1-%nI=MZ3tMMQ|Ays=GS88rO0 z8&1v%_n5Hl5H^e*Ld~5O(@e?eCv|VD#%3}pWceI^LZLMw+n~SqY4*#k<2ra`7=P?Z zX`nS5>-X|3b_*ujG^i2wsvHXc5_ZG#(QT#;76#(zy6x9|c(*3FQ9dyj?recAe9Ce- zj=B3H@jH0fd(K^PI4wa>6+OSp&@T|_6%RJ{!gGh^NpM6d*>1#44+o8r;>khpSJxlU zO2pQUbRvSx?iD@Q0whDfV)`7t(|>rb<6V{@oWnrTLMLGrzF}Xye%`y^l+-1eF4KXz zXHFSQKQ&>OzvnJ>5MbeyZWVsHu6JgHJd12Fr@ATez*M3`auLv}+~OKdl5p;A3gjVD zCgaxQz@UhDwDqi{wyejEbQ?Uh>blh^j*{(B7S<4P{?N+s>|tmGFZYE;GohjqpgEHy9_6|#tSgghq`-t zVa+H~GNoceyLq~b%{4~N_h)a+{JG*aPtlxD@P+)^73i7hDGgCAss+-Bj#8McMX473Zjhgf)2pWuJ3vlR zOQK|Uf|rmRD&~V8RLLZ^78q7ks33bl;_CV&6(0P3R)PRRc6V^&ps1u(cpl2OGo}+} zkjF|2{2oJ>z|zEARaNe|b!z33O%E?cN);*J{!+&GD_}ge*?;7YxL2lOV}Ikvylp8H zFhLbbiWI&#=(fZ&D4?4i7#DhAws_D7-EH;iQr6yUqY5EnPtUe(p37-kj2siw2sx{$v~WZ0pNy#{!l9Mx4Ej1yR6 z5$Uqw{DhsBx7D&CtB(zY8$qRE3#F9J*BAedYU16x=YQN^(~4a~C*H|dj_9 z;@@|iAgR$+fd6p$O1)>vBYE}pheBx2GF7DkP$BS;KwSODtK$R=mO#1d!A1|g1W&}~ zWsg^IPJd{oq_!@3oZ6||LI)Tj;)K_>KF-FlaEvE;fV}EfQv)kMPNnAY#>X~W4C%ys zEc$8IkUIj)?RiPTmH0rj@!%KhCS``>%wI2VlmY#=3}}?2D%)M6ts{#zp3g)$oJm-D z@3nr-iPTo~+8);HH&jWuvKvTbzPqnqWd8Pq?0>=-J%jMN5i_!N0l&fashYXMF2dkR zRKV8(hIY@a)STObjNq}j7hF&9T<*beL4T@QLgtp2y$q(nz5losYDdb+@m#2w)l4X8 zT?^IHwLG9}b*KBPee(Qd3Qfxi<&Z%1*DX(6%#tbLJLN*iRX~!E3+nr0`qFqh4C<{G zqkqzZ!4`|Hac-TNBG)%qm3pJT55L%Q#(>~WJkpsQ#B*j4f6Xdy!p#Z?Ju9&>bFn=ZQ zZ1v}$bLqzxx8c@4eck6zG>MpVFG@q3#q!2xp|s0wKh{EW>`CBa7K_y2+Po&O&5z7S zjdo(=UF*cZPNws9qia5Tt~QmHPe44`@O#_OiY~M6gZ*oD}T67m$Zw|foLhN5aJ{`^cD?M=3``Wd6Dbwzj<)p z{TA?K%`K&QlW3M-k~Imr^)~+UM2o)oO;hZoC!ygj+=e()E^HdU99oui}O$8yFjmv}AM&}VEaajffx8oUL!i0(x$e|XR) zEcXf#EpZWi&w2+$%gFq4Ah#eWU`5TRVPUu<*A^l0HlH{6=C=vlI8_&wp7lv>Y0*UX zoh%Y@iX6c1QymZ*I6yGNd4GXO%TG*J^yP()B$;lLxt%5*OHlpVh$MdZsJoB%a;HC& z1WAAgiNKo<*Wq)d3=q}r2||=~o#A*>>V26=qs&%f9WdtgAZ>~zV!b9(8R03lGDbaJ zCU0_)PI$*CFqEtCc9uopq`nr|h3ldBy&0xWfO_lvOJ}`afKB!TB!9d84-}pK+zD}B z6gqi|VoG$&l1SZZd8JONE6JJBn+c+hkE%SUf(zp3*!%Kt2=7!hJ6D;i`O2wVEjBdf zU;^9O%$mhT8!h6N85U|)A*l6LpeN}GL+#vsU+54bwB?(`FegO7Z>sJPv=CyHp@H>Y zT{E{AtZh@e@C^$tr+=@nTAS>KaoFO12ALk6F~N{(IX+R8nu+!O;UN-&$ZB&a98w6n zMCl>>E4KpAJcH^6PY{Cwv){OBq?mlMrt5V{ycaNG&so)$y!tK&U3bK@%pgY=3qpS> zt``qci^WH9AqPFH6=prUQ&(P?qel*7I?nKHm|Bq*Hdn1+Mt@kmbL>Yb%xZJCN2G5S zOoW$#l;DipCaLgInh1y3D}CGrXkU3b`-BGh=J&|X)~TRJ7V-p`mCo9>6?F-*p2y8y zs35TP@Z%=T>C~DwE+jomXX&ogVi8t+xWY0yS;7f;en9qt8Fu+$#XQ-UDYWnO8i`ri zF}Q?zx_M%BFSyoP-_RP?U-6%Dpeu>wEUs{-1TR2`HG<&|}cBJULJ3tlu7 zI7%5z`!*}^1c~?OQxAmn@hY+RWe_S?L>hU?T~}CZhv-6?e8BfdPNsJ!9^M+S(WUzcHu_? zcIZZsEOf9$C=lTqdcS~Ke(`yIy#^lEIrFni;ED854 zB!6|vK#2Y)%R0(SzRaGS!tTW>ME(`0aY+3IrJl98%B zX!sZXva`7A)RHSK&cu#Z(VHsQnQX=>DTjJ;d8LO~b2tr{!aoUk)4Pap#Lao?F2dP` zU>NToswG&_H799a)Y1*L6KggYKi6igs9K&6Yt1M@Y9fGf>5(s$ycy1MuffEcYJXKr zua`VZ>Ji&$kHdUIJ)an_v0(C-o$R${LJw4mdSe*xq7SQMd?4cQQbI}`PxW?H6bOM= z9?a$-UMTw{9DYJ9MnWCtz4w!KK;O{~!}#^fhlLq%qyCkl0Lw^F)13B&au`1a~Vo)uHg4_!yU@VI9P#qLJ+SZPF z>~8kBFH7_3*^(H8o^a$)WMmq<4F|MRiF(jA2vL5}2ip_5pyj>^b}gDG4=q_b z%=w}{H5t{Y%2`*eS&7EKXsh^GCoKM%n{~AV+PWYB+}I#XQ{b^) z9cm5f*{OK_+0iP`W8k4cAb%8XMh?v6azPozL?iT`T4vLsnQTae!T>2so{)TzI91al zrL(6J5>YhS7_mcl)YWNw!Z3Dkib_sR4#RPp7=?3E#X4cORGdOU|tmqL1sDI!`h)kr%4{+V? zv|_QRaA4fcQ=d0s1UkEt%eQ;V=UkM0bxHGATkLyV4_5h{%%#{T zc20`rx+^jsNabLyharUi7e99jRiWjktZe*AZJm3nN8Y^c{D0375?0HV{Mo71ysaH_h%^Svtq}AqupCiSJy=lVLP!>?O%oyYxo56Lr zkJh8F)C9NNWByEe-ekKlmXLvU>ggZBM~2~*Zc8T$zZ1r5jABmXsbr*iCK)~!pxkU_ z?cdFhe1Ak158cdrkG!beL~&04tz4c{?(0hv^Oj}La}=E;aogJ`d`R&3qMQZ^cu?*=gMx2L|2kk)Nko+z)w5)`@!T{+urbZ6BOYo_n_c96SC25FUFCpbz5}Cxh zYr}4pLN-_V;L$iMFQGX`Li!hyMACajrii%iBh%eVyk_hsu zC3}l*nDP`tQXVKHC2nBanwY)6J3zj>4O6p9qe9t3wA9M!p7H(kk>+*9zAxz0)Co(S z+0=*30LIHeD9tTbt23Q+h)9SN^}q?RVp(n=H@C^tj|E$%EDDo)&)Xa}C?p!kIfVPZ z+JCaPi>^HcUpB2ODoOvpvegdX+ai(QPQmjz4j@hy zexjx#Ln4`GHE)GLFFEt&$!aeM(pcn0SAPwlbb1x`OY?0q!7yJz8-;3z@>LM_bNf7j zD^D+{n_oh4giQ%2ZJ%85N;~uyG)wXXOcug!m1=$~TW@uKVR^r2$yUbP6@NThB86YS zl2YGHd5aS%3-8_&jLYSf$4!WJr0bv<;Q-59f9WwCZH@A_gW-`GoEU>z18;vS2$)&Ro~6wLb~Y(^~Vvs=Jp1JSCHC)t$uW-ji;Q;~Ma+|qQ zBZ00;L&6%!qT?LnsGgm;?LVzFD1YIx16kimK%$1Qr+9y7helKFG3oWq2Ci=M`M@AMpJ$))8S{DiIM1xD3)ou zt1R@|?-q*nScpQ-ysIOS`#IfFP0-shqi1}U3(uHBHfh8Ty~!bDXVYYiHh+yKw5@MI zr;_1DAn_?c*6)Djb*~!M7|erDtOH(lw2XNRLZ+^=#E}zzt&A-8nI8aXU3m$`1?Y@& z^N0%ajcwHzP;r&KoJav1m4B#L<=iOj$tOx&#z`e{l7+j=S&OxPLS5p0|9Ad8FS{ z=~nrCZD2P{h>-U_CzHh?z|kFh8CqX~m1^XD&5Pq6#NtFJl`)T2J$h6^^Cb3MR)`fkiw!b{H)EA(~rCt|s#cjs0! z1`60XaqWQY?<1?-!hd`XPq7#_uXW(y>6UAH@3}f=WoZ}sva6FJk*1TL%>9{i=tV;9 zQWlJKO5fmU-Ad-G6iEKGDl58I1D>rnrq$Y{s+KL0cy z#(qS-{vx~<%U&G{zvNh*5azkRty-vrm`TNWEcqkn^cq^PC4WzfjGzzVrjaP9fZ11? zfHc0=PCLr@iogA0+wp`K5WGg1tF5 z>I{Bk)E&*pT^0J%SzEo>}$a^*-X!9k-Rw(&;VwMxM2|G(J|9Ow0@} zRtQZfS1Csg0e>8tDeyuvuJtH_aDPUpD%{Z+}H<2_BMA7{kF#HrJ*E3!2!- zUVg5E@5@RY-yzf>!Qr`G;?BX3A%Y@(#NMeM8}N8Q!9p=Q!c9IzO$QhAnK+mOa}$pXuQs(yI# zJ47~EqjP_)%p;(Y)W-nxjTLd9SA!|BcX0+Y@ZOWGGGrIQ6Mr-(k?V4}>*h1>{(O>1 zE?Yv-o=;;Y)i0QA6;CnPBt!{Cm>O_`q+Wz#3tcT-UcsU7r`4Uou=?3u`s%P2K0Dn} zOn&mKRjlu9Dv0vokpc2E0) zpm%oJa5(RG0gkgY;*nW1oFqOzr6@s%x_`rkHS`*5*AF<;mnlXD)&`~onU@4M!I%?7 zakUKSSQ<|>8l5Ug)r|bumJa=xgULZMq=PC2S~e_DMd~iL>f-H73W8HkrXh5f2$HtX zKS;*AAKLO#Yg-7&105Vl+{OOgK*2 zpy&<^p5J^%P^avvXSzUwQl&rO#u$`WESu90@E_3}$+*`;Uh>((9Ug&Rpvx^_qc=@m z-Q2{pxx>(ILO=6;$LA}ET&jS-m47hkX9e!Y72Czo&`laqx*U0&_bUB-26q9cS$H!< zIJ_bn@yN>E=~Qg>FsG`TZ5OzPwh1$BLTR3l!CUl{MriRO|1nySwIV0sJj=C39(_X! zHZ9p0RS{u4hgo9>KI)JSXNjrSl&3ydY<%w3Brck`f&hIosBiC%->B>U4u7qSff1HX zkCsmzS;FtfOkza4t&PrJ8`jqB!+v>vJV9Skx9j|ooc);%60TX`c&k6fNkGwfkoGoB z-2O;a>$CHBmX?{vra7W8>kRxRL-@%dM3;-o-S0iL&3$6(wn%n&xYR)p981?kc$>z~ z9Quo=H2sg(it6R&PeVe?9e=15EeBcbCd~_r6|PB@nFEBb*f-1B_q%>u317r6dHA+2 z2;zt7-{*K}V|MjwYW0xX%9sFNhqp~?N;IGU%Z(!r zOEX@0$5kA$I3)>OSktPj%shYRRXFC}30{T1SC@c^HbSjX%eh*%|2_OzOUZ?=Sb&!W zdDVkf0M9|P^J$s@6MvUq4})xI0W$7Y14s%J?S^2+CmJHpo?J?0T+2+WyM83($bZQ>_LexQbg<0dCmJ5a z%v$Q3x(?U8)@8Ll>Xem=iJ-o%^T3A|$%a7v$`n%<9%74q=tVt|)ma&Qzr-gNu@*#^ zhsg}3|Du489Z)mA<^;%dSv2Ng&Io90Ev5P-pCnB`=^TC3LlH4vI>(9knG&<(B+a|! zF#T*e&`osobbogPjKuwuzXA=Cz}gl)1^XN0dzoUDIMZl;`IEbm6y_Eae{jDnA!XYJ(BjL8-HV={<56(i+ezVN8DBV=EIs%G`5RHl5K(r zt&Mu7j|{!WGK5K3Go72wp^2vC!KLH-Y9rG!+Z05RA%7Lkq@IZV>(9GC(o@u7ioS#S z(Y*3h z%oU0dKKo9MDdmGh0nptUHYif;R3@`u*q4ft+zEW>STKern58nW8SX$P18x9JW3jyFUdBU?fHWJnzv2h_nP zPJhwpix>)|s~CcvoKyV;79b|(Z=3VFqew0%6I!_(F;Xv>k;^Q=ip?JFg_usQ4|+$&{g{D@Q!fo%FhMX9t99#~*l0(}r~@)vy2_2M5@DG5qt|4| z^Bwdy+G+g~!R!K36CS~_xkNKaRtBvOjDIS&iTK96BCc)%ClyS}VKdMZo^L~o;|8X* z!0m%q(KzmGsgM_N;DTn%MZeK`jHYzrE5DLNt677YGy1Qm|BwlipO7&XmgU;UCr)^0 z%Y=u*I$+u2kaKo(%L#Q}sq*5h_roEVn}%ZJsihN6Fpc3{$5P?FQJti&EVR%`Ie%+7 zq4etyj|~RMx%p-8ekLt4=<#;$q23*}vQ2m>aYFEBx}S3e>T4`N@4f17P)sSvkagV0 z7VqFg%SW*yi#JC5E41kVY@XTF_2iZvia*0|G(0pNEO6%(#cM3&@WK0^SkKL!eP{1m zCFbTvQM_+9th#gL-CZsZ@-3IjSbtjsk1kV^qGad@{FaVW0eyAo_ukgxV?EAJ$Wg{c z;B8hFEYluy!#QCh$#W@uzPM>gQ2|{pD-)+W%>fQOi$P>;*^0!mSEn~B5yP8CE!&KW zV|a@b9Pw1m>)JUu;!{5PrJ_%R*}evcYWs%x;bg*>JamTq63Sjtf#MFqA-|D!}xq{j$@20J;HPZ_G-_0ce8rtd*2`^|HKEh8& z9yuiR^9pyIBlS+<^R>rr%PfyOzOg(Xv7%v?NUL))tnHu5dTHy4prxaFx8Z$f0{!kA z0|$sicioL>A|LlTJW}z*q?Eox0=M^KV?1Q2Sn4u15Yr;HdsyfELqzCpGKqVOk^xCe zu;&=!_&8PFEWDbKCZwN&NoOSX-AN93_e^$gCM`=}s~Mfn_daE)=YMJPImsaPD-_>` zd?D->`VK7UyOycKh1+=W3%y(`% z7rQ4~P$F2QPFn?~8CYu_?p5zO24^4Io0PNO87Q(;oYomtqtw+Ahzvqe9XwG=%Q62H?<^ zZ)p&;z8kar2WCrnXcP)yJDeW6e(qJIA8lw_Uk-NxIwUudOob>o%Mh^L zC&NI2-P(z~Pe}@(3~lcmP>V~=sdEy9as5t${oU^S^?g;kp}oC2gyJrL6?wSdnU_<` z5B&lcW(6gGRun$nc;j!HnHl=^s>S>kE@m5>x~{hL)PFhAmbcacZo^!u1Lu-A5PTv; z(-FLg#KWl!J-=!j^6|KIrmgWSG5vTUo_&6-OiO1nY%jy~Ek6AMSgFX%%e-ItzL_9N zh1IpX%xG?m3^3C8+Hw{f7sXsxVVi+}qsaZ>Aa^kv&|L067qyR9#2xN9OsR21M=h0@ zH~daE&41pwg4&g(8{(2XjK(aY6e;U${*=iNa3+2dQ8S`sa#L(HnqM(_!Z@W@M?W%n zd!>ht6zXBzj0VdkqU__6@J@zisk!CY(alQS_g8q`k^g4mP`RA7>$B3OJv2g#5h6N6 z7-5)Q+}rUD8nWE?%(;gkC7AFsm+i0E-TNWCJb#!zO4`#}Zy$p&>`sv0wS^1=gsoI} z35^8k)#MyE;4StuzH%R2(=E)ty-z#{APSZEXeY_uotfz#XHdu<1IC~Y{be_Weg)hb zi;|*g*LhHD!L&MnLH;KyX|70q>=%*U7~^CsrgsfCiYBiz)?m0dy1D0$oV!l1LA~Q9 zCx0xp%zmQu>GNlqbCG4E-}0%S^xka*Xy55?_pV)l1xPI|1X^1S2fF@L7e)SL<;l%H z_dH|0QSFr+oM$W(@F6a_xT;|c(I(V4z@)}AdIh~_S1tcwDk-cNiEO{?<0MKOi4YEc zN6S?@f4&F=zmmVPbr_(B^umO4;@-$u&VK-)gg^p-i~n}MXKd(gRt z#8e0yI0?&&L*>Jee~nex;nt`UG>@FMzt$;gAoFShKyJiaer0|6Ti5lEI_FA$zJI6B zs>pdZ*hYM)@zn)!eMW2;Q-~eKXa2#VF;AydKs!+bL8E!S0!3Wj0@X4-oL)A~YfOES z6QV(ZU~job=C}sSrunmK?z%ClG_8n}VtucKez4Ts0fC1v?@kV0r#zytqIW37#Z&Ow zV3#kRs2M{c!Ra?JZuWykyDlz{`+s&dVTrHn_&VV`H16OWh`i!P%*uSgVEmoftWO=$ z`YwCrxF$|5NLd;TYUq~@#=zq3kR~W#-g;=}$im|klIds3d&r)8v4&C^`6NiFM?AqV z?U9hy{62lW^em9AYe}gKR3r~r*OfHQt`+E_qv&*V4q}~j!ky73x2_{+CV$dV4FzcY z5ZpE6qI)ehX707Z$Aa(M{?Zzr+IUm)oc}zP*2NK?dF~vFJ^S9_hE2=M>JxEkbhhRP zF(WI~_xC<@gz5H@OpvofhuMByeb4?8`b-j65)MkO@g0&$!W4mXhR=Mu?pUvQL29z3 zl3TO+uSL;{qX)8%@ld@h27ecYwWz$#3d7$lp`k>byboRS!HN}>`#FzixI(bxiH;wF zXVaMv4HGpJLV5b@j5eN3=FJHGSjK!5D4F^Tep)CxVe1!(J$+uVU>pdT9M3=x7lJdTie`n*DEiC%=v5O5Sf^|JuDlp}g_oBvyiP8dZU|y1k z->+kRG|l1iAqk)pWvrS4ldBk{v{0|M>i3~G2CS!4tbM5;b)WAq+tf+MD+)$RayySAXAjzFrrmlP6ru-ae*j z&?vmX@-e<(WKBo5YCygkd%TEK6(V3kfmCyp$m5XvF)cI_r#qj8T>kUY)^|Hj^qI{K8ifT8muw+Cd1Iw{&GqD^45kiVa>YtyeglLm|l_MuWYkUr+;8L*)WkmwReS#JRFULD7Dka?R1xs zBE(?6*6+_J$fn!~9GLK-Xgu^zB(ObEnH@BKL5(8;!`!r2`hpk% z<6dRzC<+}+h%dN|em)|qN5~(DHqhT(>S!N2vXWNVV_a;jHB4(0Lcb?(5HaXdQyoFy z0Dn~RKQ5nb>wl((mW6{PC0O>d_o7&5F0Y%|*yI-)Dx_Vd)=jzYV54L8!skVD9e~}% zOp0fWqxMadqyeVCUgd+Sg%bKTVkidoLT_t|o75PDf<>-~p`S1zAL#0|_H4HW4pD2{ z5%^)n{W>Hz$95t;{ zNyP31TPO)%mSsj}FL($sdV4ofhvi`sVbgL;$)cmI4I7WV_$$NYPK)(6yRtpJsQsa2 z9|s}hXAJ*M&u4Soz3EoONC$+&?#XS&T<~G32qc_EZP&#w;E{cma(_Ianuhx-?8#n%R4PqJ5^m4uR% zovkBWTa}2L>KKZXqMu!tZv1I})Rx(sFG5QA%^Q$vyD$CWfRniEd@X?3MXR{Ri``en z!h_6k5PbtMxHj(CKb+LM$UYv)GVe^@Y$)Ru%sfZ8SxhOFIBJzDcDD+(p?})EFGx2O zYQc^_@LAGTLGFZrOXbjSQ_-N+w$Y7YrM2Xit-da@*Ax2E)=g(d${va@p4Ak!@^qMc zb_o4brbZVc7hq$64Am6Jge{oPW6~9@1ghd$c;{3tXKI})%y`IMBk9hiWbDS>J-5z4 zN2y|kXrs~RYu%STaHT%1EPqJb@B0r%pK?|uw8LrI=IUx&uCN~7b(%a-E^j~Ao|9P{ z2xsbmQvgiWH++v?W1FQf+hXy$1%+^?P7Zbv6Z&p0`o;Fl?9zVH3RlP}($dI%P}CR0 zfV2B|*(Pk8cmnqcpn4E`A6v{`N$ak|!`g4|E>y*thR|`ab5O0AvWW zVKQ3H89H3s>}Eqn```!DSj=*%D{-?&Y|A@zW#(_G^ZD_s4t(8QC^dDSq}a{MOBflF z*kK-0Jo&wsf;i5q;eYY{Pxg<`osGYE^L%1UH+A0K3*+`Tl=TH`ST@Sx47OC|u^>yY z#M8qpjFhfwg}BY2U%nL~f&Zk;ppF>h6NEPbocb=j_KWUxzvW6$xOfe%8#T8CdGM{jARdh`6?y}!k9^-8-qq=#m`mDP~ z7tpxr*cC0;41cNfun!+7%(ei0wP*bJJV)y)+?3U_=u={PDA~r$*Lle~k-l&n2E-TZ z5`+NWnSQV#^H40!xBR)oxNlWO^{f|a`=0xxDl1HuU*@&guNlS6ueA}b<2!&t}GUjr+ zIl?Lpo|j|cG{oCE`!40tFKer#y~EA697&vC9*Q&rq!#i+@wF&d!8NSplOi+0t28K7 z!5nkMoh!2@y zaInb$BjRxgKHO}#m=72;d9mHoBEq5=7cOp8xlMkbb|GI<6!d}`)D<$7I#_GFm!>7M zB-@DkM~$AnH6<5YE`j(28SkB@NEVAZv!GO@Qh%Yc_&JfC@WF9d;!?GjDFRJnJ{i#% zD7{2Y(Xj8@QK;zK4G_02eVjhBO!Qb(SC9q?p$%r<#F=@@9y=ff1iZR1F2MOP@!vyx{gzfQm)Akeb1DSomaDIP%A- zbE)d2r#AO7cUK0s{^`gALEBYGkAK?xC?-MK8?guT2HRIJoJ~}9fq0EPy0?nxSin|^ z7k{(fBZQaVA`ZRgo6i5N&_F}F)QVglh^#mbKJw>^U7ccBz)Thd>8PTFMiYwHWI~*@ zcPsR$rWq%$j$LCwlDMtD6xz^VEB-K>_{xI;J!?hV>le-^qLnIpcjClkOMkg`Pd@0i7}DRRUgIHL_p^h$|_NfgU8vtgZiisM2PX5 zyV@T81H?Pym*^2+k~FNwWPiZ+OcZKf8rE%~bj1>Z1Rw|+ms!Od73y7RA!zWmu8WI@cV=T;i?lfa*3{t6+2u|om8kUzVI zxxXiWss#+qF2v=Ii*G3L-D>6gHFP{aN|Inodbjsn^hI(#LoqI+41d8fRHZ!}JwRaJ zB@KwXmYjD$ZhLlvW;sNyf(Hbc0@!kVF8QZ)l2xL+$vN9{$p&cxy?NSY5 z5T)cjQSCEc-MgXzMvJd41~`WDqUUEUsd-6=Q8k2`Xo zy@DMSX7lWxC0{Zc4SxWguE4Lv*1Dx+!fpOCYqA-Ne2ni6m(mU-t=sd?!|c$h`Q6q# z>R&;&s*!}an1@s%pe?L=9PTR&gyMtp+p3jP+D%+qiJhDYt|?R#>tqx?`Pl=Y+oI1k zitI;gWVyC9gc@^$Zej<@tL}&e@BvTsy*rynOGNt@A62CdMt@p)73$n@sZG+X$tz)O zxwSbmD|%zJ+kStjl=Dp*SMr!xR#FcWk%_3gYFHPlnI}zC=8_L@Mi5~c?(2ll6yScN z5W}zv)f|sANgUqxBv$5*GU%1yjl>_6oSUef|Fvv5Ln2vzo-DRCU}qf^kw8c)6|Hx( zeTK}zG>}I9YJUobYB|#Zj6w|_Ms1iCi?07PM~zd!&qHwY?JB13e3!ks0!d)=mMPpy zc--Rf&Ag0Ur_(DyMJaH|m|5umqo8b$82 z(H636R!knP z%V-EI2^*1sSDuj~dFBxjHgniE5|d*myHZCdS0JIk9cqwryv> z`fF>q_G<6CyG~VKb=RrxbDk#ykPl3a^Q;E+W_q|92*`zON}dUuh95*}=Z=Go*sZ&f zKEBi)0_Q}EnjYqE61%>MSo~&N7TDyXJvpnNw*4Orjtx`XXTR6|KK^v!)>D;awg1Mo z1JnqZbltSDk{-Ag@+KI``|g!pzL(Y=ZeZ2Qk23Td8o(*dKw#v5^cG@FA*j3l+wn59 z+gO67r*>|YXo$9+1c^W5xY&yE+F9t_c^v8y1a_3MDp*M`4L^dI(qh*uGV~adcH%ZA zhi|G>U^DS0solRzL96!4ZPDZWJ2}eYG|p6htN zf3S*J`X;dZmFG`F?lC<>%Q{wtoB_jJ&s%Xr)YIX`$Bcpj!-Qu2uWXc?YQ+vPci^g} z1k}noy&CYOJCGj68C9@m+nF=c?w$juD(>sYuQxx*8a}bls`_JB?{v#{hTu zF4gAv-KaUOW$Z41q_~9;(_o5Cbe!~HCRieKU=x5f1X~S%2ag73cZ~-ZeQ80wQuT++ zr=K>l5_lY~8AE3MB;KGjV5$nnWrd_c0t{fkzNmElcOuL>@&ne5jvkek(?q;l?56np zV0Aw4pWcl0G$(wq74PNpy)Q^f*Nuos&CxYJFVdu6f zlhA02CyCO~dc9}8mG<3kQ8BQldf42av=gD?emR*oCAh6Z0^P#0uLcA^Z#0K=_Bn;F#6D?Q^#hgN#>-A6ON|f;S%U6 z?myCKgsF;k^mRX5Ekg6`$<-TrK$UZ2H`!nRWmiSsDx+cMTHg9g(01mltW}(2E=b)rThg?v?27`7~#*vU;erYP@iOd4i|7#oXDP0e`Y_)tOvs3m>E=h#lP_gm(5vs zJ^gjcgweG372#N>0-hbfk@6v1E`OXslS%N620EF;3fOA@_aSkcM=sA^oi#2}s z)(2yXS542)ByyJ3=`+4TyH*;O{LwBUyf$qqgCnHS+w7CTU!c+!@-&0lq1?jY4ie^P zM4dCFE7E^qj`zDz`usKBELa~ku{8DRTcD65?-ADc6Wj71v+o8QBHr5v7da{OIe8{r zxdn9&y!EUqfjB>`$g$?DF$9eq+mAaP#J{4e*Ja*{Q{wE+ZH;gJ0J4>bI%l!bbLOz| z1n?FY8=Wi1{M38o2+Vn)c=pXjn&n}8~X#sXY-JshCn zHpvMGMnD(Jp?Xy{Acp!v$9J(gR&!g7_NmafhrV)NQZN!mc3Cr^ZtW~TDQRx-K zoq;VJLBC(a!`!mt~r`xxmO@7i_p++L~eM;$aCa*L=Uu~@qU37|QN`Jz+1$6co9`e7+b!M*F! zo&o%-@6-XKmlNVqpz&BT;nP_rJ0*c0GOD@IGKAyCbfPOU;~F4Z+TNtf1xfl3boBbb zv2<+GSf7eV6fC@CHeWrfl^T?<9WzXs6OVT}E}>mO63E#-e4HG^PmqMs7OX5yMB=!z-iYvU@ze2N!LX44tF|WbQY3W3C2rct z=OKw*@6}nHCyv3&vQU=Hgi@EPO@lSM-Z~Q)Y@2neVm!QKIx?xHjjY}Q$a-x!CP;Jo z$x(sfZKjcjk< zHnD*&Q9UD=Q(S$!v&*KaqzCaRGr6Fs2x3I^Wti}VXlMTEx0pyKICRWT6nb6*c!)Di zTE#*PNV$`qinA14L0rq3pVKNS)i~j%b|t|(yz~yldh;leuZ%7nnZme3@m#*@gpMcL zg^A?4!d=a7op^Qin!^lm)L?7%{UKwDM>zgGy_kowFk9TX=lhGi^3phBKIceT*EjdN zT?)1uvNpNu$9RgFpKV?J?~XD`InUkFShnZRUjvkn&LRE0dZXh;hu9M(`0CHY1tA#6 zceW;yAyk$ePNa`3gJNR2*H$SdeRv9lpbY!{4&6F4m9?fGL*YnZUM=O{aO_ODHN6P4 zst5guOZW1mQEh(W+D?-ZNPe&9UZ25Okk7|a z&8q!Agy7M<&Si}XMY*e0aM400zInyZIpYPOUoaDu(IzG$Wd0jwpaI22l93GzUQZ}U z>})J5Ek1rljOQANBb;~8nXHAQ4uN%rRRF;JXC8YlwO8Ood7Mvx`;={@LND3yfY3*1 zghJ4uQlC->mIWo1hhUdw$~2v2O?vh4GYL5uYhU0A^QVy$1OiRo%!K=r0ZyQo^6#~V z0zt~Et6X)X15}InosjCE#9PHx+vrg_7s`+I)#bFhV!U)Y4q@M{6bROh=8ck-c?9?3K%y$)wOgmwfiIy9sASaN%Ha*J4;VUv zhNb8WQ?obn(#+p5B6Liy21y6KH-@zh?mziY8qM6kK2od<0{l2ZZ_2w6%glU|a?x+n zA^BqOJAT%{lleRQgAepA<)85&axuRlR}F@-RLr{$k0Bq$|YJ#Wc5Jseq8*aWu!lEVr$bN&yva!le=dU;Z@d`sP z6sVWmn^p&$pv*>f0bG@H(0!{&NVr~ldv*@o4~z_28EVqcx(p`GTTxM?t_Vk%fnkf+ zZ#)0hAHwoMFMeUZ|C3~_q?-1wzQ#XpWG6V)ww9uB%7GEwXJ8rZj-ruR#BIc+jUeB-#&x} zP1I0Zvl57z9EfrfZRZmS|7erfUY;}I&pF$PT8C&Fq1 z)pgjtg0N`CG70E3AQN^iau7k!k0_T8Ut^9}P6oEIkxNX#>B3HZRb1K%=ocT_8#PkK zEg6>%PxuNfYyMT6R}nrVN&haVtYb+^tXZRb>y6_uyxrObI50-N}&_733F3-cwg*DSRUVG(iPvOj(jy)sRrgVGp z3=T0W64*~U4!fG!HDvxl?>?-tV|%lv`71pCLM!SR|>Pw)0oW%aQcpAP$ z_%o$|PaT~|75x)bPXeb@mqtK_W@eEjK_8mN+iiWCHPi6T#ofEr*;u#jSz6yZs>Jj# zp+RoMF6m=kpF<)5U^#i_6AQ8dEfF7^v5pBbpX{K_=W)f9O?oWUTB!X2E5Hkzl=LbG7YFT@&0S2ocUYC;?o-Nl+KjmkN;x zTN$*6`{@)*b_Pk`jJf||H_?PMsulU`?5&k&c2y>E6Z<*YOO}emvAxfLNiA8}?9@1} zi3=o&8&|8v)}HAX{>d{T2bi;|Bbq}fUm4`zcG#fY(P$n%FBGYuy^UBHgzY58{*&o+RtdJ6-+j-y@Yl~iZ zH=qffp%WKKp_&Hm>9jmj{8Zo~;vre|i4%GOw_QZ}n%;JbU%vX(s_6WYD_4I+_I^N* z%bRM4b~01l89`}KIoLS;w46j_;u7^vb7{^DUA z7SmwXc2QbU5j7DtJXA?tJO~LnF)>+MSz4R#vY8KopOdd{*Y2CktgG$-t(}#d_8Fe* zQ@q=WwS4=%aT@?*XUV5|re4mS!JDe3Ub1|iJ}oHV(y3WW-Mq;p7zp;d^eK_CY71e{YbFBYHRZAAMa4wU%zUnQs* z1PL(gW8y=L1meDh9Ro_dtz9&}8>R?+mS_(Q`kclN&e)H|PlRUvI+b*B2;BV&`6-A@ zKd%|M0@VW_29|vE7&v?J{e3!vb z`a5)>#&HY#(YI<^CW(9gXJ(D)cVolPQc!j&{wPd@(@RJt?YD(9BItJ?!7ff73=RTT zA_(~2wMZ-SBXomy%k?zhBz}o}&1L>wBf`IvcdiyZ+r} ze%Kj+9HXbPp*g$#2D~~ZcM6O{pUyDTKpkRZfP#~vh>GT|K9Sz;sJi8c_f&xV98>~B z|EsX3{KoM0m;a$%z4&+L`qhN9*$W(*moi|-LOOg8+r=_QWx0HZzyDEu*kStN_V|gs z{~>t=t`uIL?ceK7Jjs6nt@CSOuy=YMSNxs^^<9nN-Mc_Xf4De*|LABjwi5O)JoPl$ z;+#Gqjgk`Te|JlSgt7HQ14^TMdkKI)Do4nGN4q|K6q#10aqznfQP6ww-rb*h14qos zxA(c**5d<5%ugSi?`$<#Sn;*5btX|%RT7Mt(08yJX+OpYkT22|J8S5dw<%*18IXv6 z{SJ_S8}Jb8g|mT14;ZKrL6@pIr$(p5A_y>IhNa)oC{RHHH4(2Z2v9*R+hxEW3lnFO zQRLWf@Xt!FG-^EmuJoQZSA$pJ;m!;Q!8LdoXbF`{tuJ!+wt%0fKIO>iRF41+=lFl> zf$6Cm;Xi<90ZMY2y?|s)t}vkl`Lm0>*ZQJCcN+dFm%X03+Z2*|R@r}N47;0rszCEL zSQcKti?7)z#I|#QB^gzK_!=-d5dH<^K*eek>Qd7^R^L2v(f);hGR}soBuTEj#+X%GLYdI6|TrwIc+Bx-#l}Ffi_e z5V)_Y6Uf}G=nwyD7K}&hLaS2lQnO*fqIVvb6{7&gU)j$MK-oR}zBJ$;E7iu?R4xYj zO27-m&XOxZsB|zgiy5Q;?w3S29F0e%vfhOSjph;i{t#LBx+cK zY8GtOEuve`Br6-y0^Y#z5&g9)Pn6;-UXU0RR9l;b>mXOM4hkXn%KHrI=tnQV4)GKd z%{P#qU%I-X$^y|6zMP$^)3L>cJW71qc2h>1+|J=1**Eu;)!Gvw0v}#$*pMAl86io$ zba({Z5pw&}N^*q2NwKYAmeFWE35=7?^mepF(*{hd?|P;-bT=T1qllzj);K#!qFOL9 z0zAoUN~it&RiLfxrcx)4)vL+wq{0z58RTgt4K-pnNkNU$XV9YuG$>Rn~Ch+xbI5&%YIrYjQ~u zU^Yix#`!VLHw2WQ7gCnw&j^>zr}hbvwz+>ihI;PK>8G$;qZA^1kc@$MC10n0VRkho zO^nXPdQia5QXjOIUSh*9;>DR+0h0~}P0V7S2%V{El@A8gS2`mYw#^7H{W7aOUMY~n z(`A2lCZf2wJ=;#o_UGnGlynd(Wje>He{gZu9ajak+X4Z%jYBt=B%}Grcq2<=OT0z#?b4&Z<_F? zQ?>GmA^|;PtfpV~pE=WJFsg~rcMyx$NStS(A`mi!jpXaJc#0#PI&$gS>E(G5Y5c_b zNFikD)4Po_L`Y6=L*#jY`ONvhJKH-%yJ7UP@H;=C`YtzU81|ZYvn4 z9{tq_{?lET9171gk(cY-c{4MPT_yKt|Bw!2#G8I=r~SNL_pmT4V@z{r$PKS(gF;(7 zo?YJ}>kdoTvO&BPN;nlL*YfmHU<*f83aFp2t`?V0*4J=q;qAs5P?CW0Ud z&x9b7>DMe=R!Q>(RY7C(B+>8E*Ax5_ZRx4i|ETxc$k$X@5!(*zM^>w%%#uAs3P9eJ zZ%w6XGQU)nVm&AWYgCd99kJ&stwj9mEmeus*J5^q9Be_VToAqNv1v@7#cRk>h0LCZ zW-+wO8`*!bty}5B44#?B!?d$I2BU*=M3qSoZ(23*wtNj@Rff_OUj0S#|Jk;TMWH4V z&mrvEqYlBW*rz%(iz~P&>4@UP{eT@D-=ek^Z2^2O)Y-n|V?DzM$%`44lG@hVa+TD@ zQOS{o9?TOI-Ir^_0@&)hLD1#Mh70AVQ$@5TD_+f|y7Bp08Uj18cD&9Lk?oSH7Tt`F zBzi|K_@52+l{s~{oPxm;Z&q}Kk`8bRrys4h@C)30Gu2LW#@^~yT&*y_n}A9*<4$_6 zmYP@$Nwub@m2-iWoLMcdAu~u@OwCX%dfi45IL9h_hx61R_CNdbW$x>he8Gjy&uqQm zLsmy%3f7XmQS&+ zT#Z9n|Qt^nC?-2M$V41I!-|m(jKx{R6}3V%Z%X zMx$=FyWb<_rj7CQ@%CbjDTyIpQc;(UMU{af5Tk-Ig_Bc|G{j)06iu~8M$Z~yTS|6R z3!^&QQ%(x85BGI$L$X+;Yr01!l8(fs%T2@E4JbRPcL?i-0VHGnmB0cNGVfcd^weLO zU@QXTD4nP_IoEQzRAKR)IB=^1c;n95d&w1a?AHaFL)>FaggMyj@H_)!?ymk&mJW3G zto7)6)nA)pJ0HEP9KO_&c`yr~a&O}DBtD(XEqx3$ExU}_Uv7#Iw>%?L+qY)IDFf1U zx(`00W@^fAAD$Rt`oP+5LTfd=_NTNiPjw?cvi!LE(5Z95)Xkg$7dc%cy7WW>VMwGD zs}m{pswcg08M!pP7?5mJr$_b^f>j1jCxuPBdXLEqd9>6FF}C%O_`=@q(%Q53`T)K{@Z*dr4+BJ^KnSTAH*#BiG;Me_ z00ZgA2POOsb_UQfSUiB6*#OES^b%2`cufb(1g+Ni{i+d``mr@G#+{0kNIpg98ZJW_uk8rZ( zw`k$$U-Cjb|E6GEsUyToyTKv~>tUz2n`2K4%F{|#qvPg# z$)Ww^lO**U+8?5Teqn_|ot@e>rUc&u+jpz~@bgLHjYg&>j6A3=mfdkvOHsJ;gB%2` z*)VJYTv<|34)FHLVc^8g&+H`-Njjc8CELZB^1!klH==!?a=#-u5vGU-^IZ0H6WHup zI38ojYIxjHWz9`onGO8gG)$oMAb;y4XAlP`WW1qpQw`1Xtf%~4=UI_UuEX2Xo&vty znSwmF0GtQCWQxCEl{xA<=P&PJ{xOD>_r8o)*&FJ7qt*Z|jx!WOF;vPQ4tY8fZtSsG zdZ4xMLMwa2P{@&SX{Z!%#g%)&wxRF7I*mkcaAJ9M3obAYXUZk}_k1-~d_@pO_T|_f zVIgt?TUqKg#S#V;)?q3!;9J{r%sH-)wxD<^d*D5=4d}Ii>RVn?Xk{##J9Ck|YUVXZAGXjR)!DLY z8b4zxZJkP?CxgemTc{%6#_+g$EVm%Sp7vM)RkUw@Dbc9a9ZU3i#qe zW}P?LKr8jtl-RP5LMUO{qBSrsq3{5gS$V8p*>Il0)6Spfp$>}STM50BajW|FJ~~fm zn{XV4iV~&-jc>d~y+O=vE2th}JA)N-r4ov`d?a^?I)vJbN~h@hCq`xC#{2;z_zu=> zbI3j?^){&K-vfl5z{>-z{#^$+F7WOj09s18o7n!l8A(jj*(uAERx`6XMBmpfzJ6Yg zN|F@MzY!F^eai9y4Y}At{U6uQRe7Wsf?$=eA*0fNMNv6uAB?ae`j)H~1{tZn3c-P@ zeObq-(mM6fh%FnX`MC{39nxAAe2tOsxrD^FIeQW#Qqw9-DJkP1NpIc6W5DS}O7lmz z_FHC`*T1SOX_LM+-7AAO>;K z-e zrlvQv$UBfJVTl9n`(w$ zpn__VqT2ar25PS^*j{KMW?ATP&Br8Q5d{<0hXx)e*Df$mRlpIMO0u~1JFr-!nDfa zi<{K8uF$uo!3OGxwg}(hKg%aoyZ<)tRjmDce)(wo(-nCor;TQ*w~>5jRyxn+No;@B zys7|t{AajpJr-zo^XH=!z%~zAC$u3F{go9o1wfcB=i_H6`bmoidt#?$h3%s|t6*2$wN^VKI<8JJ;Pz+pJD1!*ik2pJoc7K_O@oQ z*8Htl6U|z%?QRN~lhzL(jWK2&`k1@Zykinc1|&REiTXI7#Ce!w9C|p|0npykT*P*X zC9&bfrGS)=99j>6RuT7P2aH^8DO3o{9es|U^w-c1GQ&;As#8&l^cSBm5M(W0yM^G6 zqnx({e}UGSIozC$PjCrVwKGc2|2{jsi^2wY4(0~7szAf$4oD9(=h-NG&OVwQp(gSN zKe_|d7 zj1VC)kKysAVSn}DFM;1ii*fzdwW-sfCqRD5_TGv}gKxaG0~9PM-lwHZf_{k?tI%LM zeJSd)YRHe41V!7d@r=txH$FX`Zj&Jf{K?jSd0c*N{>+o`u%df|x(SK|Q{#_k|7OrQ zGK*uG6m?rr%SoTtdWSupl=LviGj~Wrc+p%%uKrd-uPNT*&40LeJr-^#9!YWylcj>B5@9R(JB4#vlD2Af&mSp&& z3@@hJb`a6POmwu$8MO}^PRq?lUln*=8;bCcFT}Fy)svduy<{eT#iV}0IzLm z5*qF5;JUUhC~gN@k2OWmdIL+g3%8s(pS^fl61LCs*5#w*3ry%IFpu570&*X(-^@w8 zFWrr16^MNO$Le7C-AQ~l{?4v@u~)S^gIh^h`FU6vA_o~Ztwb-5Z=@eTU8RgG9EHJ8 z_#473m@dVhc!Zelmr%lLoT;6bk~?Q6tpOt3tfrf9y5*=jjqUW^fxUJzjFj_sj5FQF z1N!(_Msq9dp=?PR)NlNBxc|;yIZKhc4iSe|w$XM+Nd@NhXU$)n83_N2L%o8)bpWoBfz>*Q`&{SJB=1_%bKBe3$om z6U3ffie=BLdW#>FdNo!Qvs*THIsndpLj|^VbJqYE&maHGD*Y|vnDX9;D2!bBZf(5~ z9w#~QkKC(?L&`ID$JE`=cvt^{IC$u#1>w-j=hzF~zVQU(BLn*f?%egjD145{!Y_*1 zzfS#yR1}{Xe34!{bljUph0GoviMp9P&E@+%2a>64C$B6<$gd=Rs6K-Ai~rK-_+D*# zL)Qy{TH^CBUuQVcwI{%F-0ia+Q3OM`t7?Y`EeG~2gqOqxEw599TYZlo7qa26ZMxV6 z{g7yW`WLRD6#~VOy;zVZSk9ZIPDT|_QyUb2jh9nsXUNpG^qo zvk(3Ww=}W$CYadcJ2^<|_Vc+pkkB&EZwOMyr_I*K(bvv<&Q{e5A1Ds!GniTbJq?la zA4|6bx)xYD^tY7IuDGcH_U@+p%--ImOs%3T#puk)O9gzMSLt*pQJWgOoX19+G|W+f z77vm2#Yw`1F=7|yq;8OBikYD=KX!nypCAYyH&!A_kk#h;*JMXUZUJHp(zAM7ZUSDV zN{)ozp9)oY92+$%bSbS&f|`+#iv}pYn4Q6(QVC!JS<;i&HW4j0SYU-k0kzKXV*si5 z1@n_8m5Q7G($*qE7BeFZyelZBnXNNUSL(ppVD?Rz+;fL`4*?%q(%UW%2iH?_g5?&-7bEshtjn-^c5Ex@Q>f9d?$VI{C2G%>1HG zkk`NgaZQl58HtPi@b{K{tw7B4-~{mPNYlP~W6sPFB3IM50vkosx_O4DKo3P#M9I`;<@qa}wOERZ0z zX#c+c&{_S z_z0mBt{HCx<+%}%5)@3IS^A(b^E(ghG>yc@-5}=QAKDh*o%654b|Wn(R75v(Jp7wsarIbk|LKSMxTFK&;1M~J zwA&xzZOwn$$v@48$BYL^+%vmg3^4S`695fho4waN0dO?Qn>?hGSGWT=H#c0FUDl=L zosU2x>|b*PH71BV zmUUW838G+j!nQF4hr%o6Oy=b;hLn>vc}xR+=Yx) zsk=C~iV>P@?CdYv{rJ&Arq3o{K@3ISYuOnPQHN3XX zeU3_OD6o!pnr$xYrPg6<%gekVom0I5lospp3QGYRxK%J^GIcn`%^V-;!)+*I?NqrKq^ry3Z@<)gGQTM6G7e8^MJ}y zV8_-vRv-3q80eC4K|h+@!RBdVW50WaLaJ%YpXkDZn5?>!s@%CJieq|Rob=|Xxs6)< z)9(_XqtrP}TD@i}a+aC`q+B=yV`0JI@+hrdN{Yjh41`PGYZ%>Pyo%~RMf1)*PNr2l zLDgwov$?Wo7do0s7C4hK&1d@JO+N5lDX?} zQmSh93&<;wfDEL=W@En(sAAVkL&H2r5xlwhU7<`W;{;m3N&z(wbe_tiQ@##gJulnl zEt!ZWRRR|4t^YmlCgXMBSjX~~J_=7u$bGG#ja~mPo`d{nWji5r2lp>?F2(yq7Ww4# z^XyndKnsP=9}uS(2j+IaT}>5ycUwXfGa>t__yfnT_rtg8?fW`0-S;eA&EmW!oC~8x z)BKjci;L7ggHW6gM2ba2iG$Ef1QkRJK^V{eo{F;d3Q%3RYbBROm=avzc&ooQLW0&? zIY~_h`6z5}e;1isizm*M9EHDaJ&ilV-6eLB2TTyQ(Z850cmHKRvhya{8QnS5^QMVA zAjVHI&u@ zSK2}yaliQkF{e9Gv!t0@JYGOg`X=1_BBYPdvc`*{cN<^&-l9$GLGTpel`A*Ay6sgc zJ_FH7+5skWT#FZq2>vZU&b~j$TpZgDr8&pOi^}Gf^LA5NVN+91eKZ{rs$JM&z8}QK zi~r@>y#F=uj|-bEF<^^zkCb?utewo?SNzid7NlneE*NUN+d&mpRtEeB z#$;~!njn@dyCA){N%{3C4lloF7(W-Xg8g>np`leuoobPGBj?EqPo4TTHl)TBkZpM& zC*;!;A>HEnYJ*ZR-)fwbfnT~D^2ewaDBpC|-fqBh5bM(EDTtGe^lygL(#u0L zKYEtAE7xsa+pp7>Eo3`q(N8{D#JNMb{55qwxnU1X>=7;zD0q!Hl9-`^fpquTzO!i^ zv-sujrE8>c>TsIvwL|UqM$<&IS!uB+!GR5U)c5iVxh)PJNpNal*er#d_|k9P)_!mpO$$K=q&e^)o$?H8QoZf;QTQY4pGWj~Y>Y#Pu**OgQXUR5uyN*RA165sA zUgQkJT^ia>kH*_5{3vJb^*rb&H;)UnCe{;flVuj%%(J4D9|#&^fF(;|->J0)C|z+G zv+(75>ugpx$zl(N=S#O3e=0h=7LWYdN|=~C6}*3&k7rxN5$p`;{o8Zc5~n{%YV5qT z+xBLxUOg+cjhp7TIMaZV`G&6bNySTz-v>np{{JEW?EA6ol5&Dq4a^y3Xz%4a9K3#V zl#B_6hi{-lNh)2(0u!6%5Gqa1{F;>F07gP;`*%oSbl@NxCtIM8!~N2~j!IDDlR$md zS27d~l3j7kh}hF8{B|$9Fui!RSQxBk`6zHQ{qKUpeSTGn&|gJHVx}<;n8|zbswO z(KydasyE&i+xQ*&N_sv=Q_M);_*qH2S<0SOa6)@L!c WINDOW_TYPE, VALUE => window_value ); + +-- result_ram: dft_result +-- GENERIC MAP(depth => BINS) +-- PORT MAP( +-- CLK => CLK, +-- READ_ADDRESS => read_address, +-- WRITE_ADDRESS => write_address, +-- DATA_IN => ram_in, +-- DATA_OUT => ram_out, +-- WE => we +-- ); +your_instance_name : result_bram + PORT MAP ( + clka => CLK, + wea => we, + addra => write_address_vector, + dina => ram_in, + clkb => CLK, + addrb => read_address_vector, + doutb => ram_out + ); + + read_address_vector <= std_logic_vector(to_unsigned(read_address, 6)); + write_address_vector <= std_logic_vector(to_unsigned(write_address, 6)); + OUTPUT <= ram_out; + process(CLK, RESET) begin if rising_edge(CLK) then if RESET = '1' then window_index <= (others => '0'); sample_cnt <= 0; - RESULT_READY <= '1'; + RESULT_READY <= '0'; + read_address <= 0; + write_address <= 0; + we <= "0"; state <= WaitingForSample; else case state is when WaitingForSample => - RESULT_READY <= '1'; - OUTPUT <= port1_real(output_cnt) & port1_imag(output_cnt) & port2_real(output_cnt) & port2_imag(output_cnt); - if NEXT_OUTPUT = '1' then - if output_cnt < BINS - 1 then - output_cnt <= output_cnt + 1; - else - output_cnt <= 0; - end if; - end if; + we <= "0"; if NEW_SAMPLE = '1' then -- calculate phase for initial bin + -- TODO this should use unsigned multiplication mult1_a <= std_logic_vector(to_unsigned(sample_cnt, 16)); mult1_b <= BIN1_PHASEINC; mult2_a <= std_logic_vector(to_unsigned(sample_cnt, 16)); @@ -185,21 +243,26 @@ begin mult4_a <= PORT2; mult4_b <= window_value; state <= WaitMult; + read_address <= 0; end if; when WaitMult => RESULT_READY <= '0'; + we <= "0"; state <= WaitMult2; when WaitMult2 => RESULT_READY <= '0'; + we <= "0"; state <= PhaseReady; when PhaseReady => RESULT_READY <= '0'; + we <= "0"; -- initial phase is ready - phase <= mult1_p; - phase_inc <= mult2_p; + phase <= mult1_p(15 downto 0) & "0000000000000000"; + phase_inc <= mult2_p(23 downto 0) & "00000000"; state <= WindowingReady; when WindowingReady => RESULT_READY <= '0'; + we <= "0"; phase <= std_logic_vector(unsigned(phase)+unsigned(phase_inc)); port1_latch <= mult3_p(31 downto 16); port2_latch <= mult4_p(31 downto 16); @@ -208,6 +271,7 @@ begin when WaitSinCos => phase <= std_logic_vector(unsigned(phase)+unsigned(phase_inc)); RESULT_READY <= '0'; + we <= "0"; if bin_cnt < 4 then bin_cnt <= bin_cnt + 1; else @@ -215,6 +279,7 @@ begin state <= BUSY; end if; when BUSY => + RESULT_READY <= '0'; phase <= std_logic_vector(unsigned(phase)+unsigned(phase_inc)); mult1_a <= port1_latch; mult1_b <= sine; @@ -226,30 +291,49 @@ begin mult4_b <= cosine; if bin_cnt >= 3 then -- multiplier result is available, accumulate - if sample_cnt = 0 then - port1_real(bin_cnt-3) <= mult1_p; - port1_imag(bin_cnt-3) <= mult2_p; - port2_real(bin_cnt-3) <= mult3_p; - port2_imag(bin_cnt-3) <= mult4_p; - else - port1_real(bin_cnt-3) <= std_logic_vector(unsigned(port1_real(bin_cnt-3))+unsigned(mult1_p)); - port1_imag(bin_cnt-3) <= std_logic_vector(unsigned(port1_imag(bin_cnt-3))+unsigned(mult2_p)); - port2_real(bin_cnt-3) <= std_logic_vector(unsigned(port2_real(bin_cnt-3))+unsigned(mult3_p)); - --port2_imag(bin_cnt-3) <= std_logic_vector(unsigned(port2_imag(bin_cnt-3))+unsigned(mult4_p)); - end if; + we <= "1"; + write_address <= bin_cnt - 3; + ram_in <= std_logic_vector(signed(ram_out(191 downto 144))+signed(mult1_p)) + & std_logic_vector(signed(ram_out(143 downto 96))+signed(mult2_p)) + & std_logic_vector(signed(ram_out(95 downto 48))+signed(mult3_p)) + & std_logic_vector(signed(ram_out(47 downto 0))+signed(mult4_p)); + else + we <= "0"; end if; if bin_cnt >= BINS+2 then - state <= WaitingForSample; - RESULT_READY <= '1'; - sample_cnt <= sample_cnt + 1; - window_index <= std_logic_vector(unsigned(window_index)+unsigned(WINDOW_INC)); - output_cnt <= 0; + read_address <= 0; + if sample_cnt < unsigned(NSAMPLES) then + sample_cnt <= sample_cnt + 1; + state <= WaitingForSample; + window_index <= std_logic_vector(unsigned(window_index)+unsigned(WINDOW_INC)); + else + state <= Ready; + end if; else - RESULT_READY <= '0'; bin_cnt <= bin_cnt + 1; + if bin_cnt >= 1 then + read_address <= bin_cnt - 1; + end if; + end if; + when Ready => + we <= "0"; + RESULT_READY <= '1'; + if NEXT_OUTPUT = '1' then + -- reset last entry to prepare for next DFT + write_address <= read_address; + ram_in <= (others => '0'); + we <= "1"; + -- fetch next entry from RAM + if read_address < BINS - 1 then + read_address <= read_address + 1; + else + RESULT_READY <= '0'; + sample_cnt <= 0; + state <= WaitingForSample; + read_address <= 0; + end if; end if; when others => - RESULT_READY <= '0'; state <= WaitingForSample; end case; end if; diff --git a/FPGA/VNA/SPIConfig.vhd b/FPGA/VNA/SPIConfig.vhd index 1cb3ae8..183eeff 100644 --- a/FPGA/VNA/SPIConfig.vhd +++ b/FPGA/VNA/SPIConfig.vhd @@ -37,7 +37,7 @@ entity SPICommands is MISO : out STD_LOGIC; NSS : in STD_LOGIC; NEW_SAMPLING_DATA : in STD_LOGIC; - SAMPLING_RESULT : in STD_LOGIC_VECTOR (287 downto 0); + SAMPLING_RESULT : in STD_LOGIC_VECTOR (303 downto 0); ADC_MINMAX : in STD_LOGIC_VECTOR(95 downto 0); SOURCE_UNLOCKED : in STD_LOGIC; LO_UNLOCKED : in STD_LOGIC; @@ -69,6 +69,17 @@ entity SPICommands is RESET_MINMAX : out STD_LOGIC; SWEEP_HALTED : in STD_LOGIC; SWEEP_RESUME : out STD_LOGIC; + + -- DFT signals + DFT_NSAMPLES : out STD_LOGIC_VECTOR (15 downto 0); + DFT_BIN1_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_DIFFBIN_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_WINDOW_INC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_RESULT_READY : in STD_LOGIC; + DFT_OUTPUT : in STD_LOGIC_VECTOR (191 downto 0); + DFT_NEXT_OUTPUT : out STD_LOGIC; + DFT_ENABLE : out STD_LOGIC; + DEBUG_STATUS : in STD_LOGIC_VECTOR(10 downto 0)); end SPICommands; @@ -94,7 +105,11 @@ architecture Behavioral of SPICommands is signal word_cnt : integer range 0 to 19; type SPI_states is (Invalid, WriteSweepConfig, ReadResult, WriteRegister, ReadTest); signal state : SPI_states; - signal selected_register : integer range 0 to 15; + signal selected_register : integer range 0 to 31; + + signal dft_next : std_logic; + + signal last_NSS : std_logic; signal sweep_config_write : std_logic; signal unread_sampling_data : std_logic; @@ -103,7 +118,7 @@ architecture Behavioral of SPICommands is signal interrupt_mask : std_logic_vector(15 downto 0); signal interrupt_status : std_logic_vector(15 downto 0); - signal latched_result : std_logic_vector(271 downto 0); + signal latched_result : std_logic_vector(287 downto 0); signal sweepconfig_buffer : std_logic_vector(79 downto 0); begin SPI: spi_slave @@ -119,11 +134,9 @@ begin COMPLETE =>spi_complete ); - interrupt_status <= DEBUG_STATUS & SWEEP_HALTED & data_overrun & unread_sampling_data & SOURCE_UNLOCKED & LO_UNLOCKED; - INTERRUPT_ASSERTED <= '1' when (interrupt_status and interrupt_mask) /= "0000000000000000" else - '0'; - SWEEP_WRITE(0) <= sweep_config_write; + DFT_NEXT_OUTPUT <= dft_next; + DFT_ENABLE <= interrupt_mask(5); process(CLK, RESET) begin @@ -143,6 +156,8 @@ begin SOURCE_CE_EN <= '0'; LO_CE_EN <= '0'; PORTSWITCH_EN <= '0'; + EXCITE_PORT1 <= '0'; + EXCITE_PORT2 <= '0'; LEDS <= (others => '1'); WINDOW_SETTING <= "00"; unread_sampling_data <= '0'; @@ -150,21 +165,39 @@ begin ADC_PRESCALER <= std_logic_vector(to_unsigned(112, 8)); ADC_PHASEINC <= std_logic_vector(to_unsigned(1120, 12)); RESET_MINMAX <= '0'; + INTERRUPT_ASSERTED <= '0'; + + DFT_NSAMPLES <= (others => '0'); + DFT_BIN1_PHASEINC <= (others => '0'); + DFT_DIFFBIN_PHASEINC <= (others => '0'); + DFT_WINDOW_INC <= (others => '0'); + dft_next <= '0'; + last_NSS <= '1'; else + interrupt_status <= DEBUG_STATUS(10 downto 1) & DFT_RESULT_READY & SWEEP_HALTED & data_overrun & unread_sampling_data & SOURCE_UNLOCKED & LO_UNLOCKED; + if (interrupt_status and interrupt_mask) = "0000000000000000" then + INTERRUPT_ASSERTED <= '0'; + else + INTERRUPT_ASSERTED <= '1'; + end if; if sweep_config_write = '1' then sweep_config_write <= '0'; end if; + if dft_next = '1' then + dft_next <= '0'; + end if; + RESET_MINMAX <= '0'; + SWEEP_RESUME <= '0'; if NEW_SAMPLING_DATA = '1' then unread_sampling_data <= '1'; if unread_sampling_data = '1' then data_overrun <= '1'; end if; end if; - if NSS = '1' then + last_NSS <= NSS; + if NSS = '0' and last_NSS = '1' then word_cnt <= 0; spi_buf_in <= interrupt_status; - RESET_MINMAX <= '0'; - SWEEP_RESUME <= '0'; elsif spi_complete = '1' then word_cnt <= word_cnt + 1; if word_cnt = 0 then @@ -180,9 +213,13 @@ begin when "011" => state <= Invalid; RESET_MINMAX <= '1'; when "100" => state <= WriteRegister; - selected_register <= to_integer(unsigned(spi_buf_out(3 downto 0))); + selected_register <= to_integer(unsigned(spi_buf_out(4 downto 0))); + when "101" => state <= ReadResult;-- can use same state as read result, but the latched data will contain the DFT values + latched_result(175 downto 0) <= DFT_OUTPUT(191 downto 16); + spi_buf_in <= DFT_OUTPUT(15 downto 0); + dft_next <= '1'; when "110" => state <= ReadResult; - latched_result <= SAMPLING_RESULT(287 downto 16); + latched_result <= SAMPLING_RESULT(303 downto 16); spi_buf_in <= SAMPLING_RESULT(15 downto 0); unread_sampling_data <= '0'; when "111" => state <= ReadResult; -- can use same state as read result, but the latched data will contain the min/max ADC values @@ -220,6 +257,10 @@ begin when 13 => MAX2871_DEF_3(31 downto 16) <= spi_buf_out; when 14 => MAX2871_DEF_4(15 downto 0) <= spi_buf_out; when 15 => MAX2871_DEF_4(31 downto 16) <= spi_buf_out; + when 16 => DFT_NSAMPLES <= spi_buf_out; + when 17 => DFT_WINDOW_INC <= spi_buf_out; + when 18 => DFT_BIN1_PHASEINC <= spi_buf_out; + when 19 => DFT_DIFFBIN_PHASEINC <= spi_buf_out; when others => end case; selected_register <= selected_register + 1; @@ -235,7 +276,7 @@ begin elsif state = ReadResult then -- pass on next word of latched result spi_buf_in <= latched_result(15 downto 0); - latched_result <= "0000000000000000" & latched_result(271 downto 16); + latched_result <= "0000000000000000" & latched_result(287 downto 16); end if; end if; end if; diff --git a/FPGA/VNA/Sampling.vhd b/FPGA/VNA/Sampling.vhd index 44535c4..7cc30ab 100644 --- a/FPGA/VNA/Sampling.vhd +++ b/FPGA/VNA/Sampling.vhd @@ -111,6 +111,8 @@ END COMPONENT; signal multR_I : std_logic_vector(31 downto 0); signal multR_Q : std_logic_vector(31 downto 0); + signal last_sample : std_logic; + type States is (Idle, Sampling, WaitForMult, Accumulating, Ready); signal state : States; begin @@ -207,8 +209,13 @@ begin else -- when not idle, generate pulses for ADCs if state /= Idle then - if clk_cnt = unsigned(ADC_PRESCALER) - 1 then + if clk_cnt = unsigned(ADC_PRESCALER) - 1 and last_sample = '0' then ADC_START <= '1'; + if sample_cnt < samples_to_take then + sample_cnt <= sample_cnt + 1; + else + last_sample <= '1'; + end if; clk_cnt <= 0; else clk_cnt <= clk_cnt + 1; @@ -220,6 +227,7 @@ begin -- handle state transitions case state is when Idle => + last_sample <= '0'; sample_cnt <= 0; DONE <= '0'; PRE_DONE <= '0'; @@ -281,8 +289,7 @@ begin DONE <= '0'; PRE_DONE <= '0'; phase <= std_logic_vector(unsigned(phase) + unsigned(PHASEINC)); - if sample_cnt < samples_to_take then - sample_cnt <= sample_cnt + 1; + if last_sample = '0' then state <= Sampling; else state <= Ready; diff --git a/FPGA/VNA/Sweep.vhd b/FPGA/VNA/Sweep.vhd index ff3d517..3e2fc3e 100644 --- a/FPGA/VNA/Sweep.vhd +++ b/FPGA/VNA/Sweep.vhd @@ -71,7 +71,8 @@ entity Sweep is EXCITE_PORT2 : in STD_LOGIC; -- Debug signals - DEBUG_STATUS : out STD_LOGIC_VECTOR (10 downto 0) + DEBUG_STATUS : out STD_LOGIC_VECTOR (10 downto 0); + RESULT_INDEX : out STD_LOGIC_VECTOR (15 downto 0) ); end Sweep; @@ -144,6 +145,7 @@ begin START_SAMPLING <= '0'; RELOAD_PLL_REGS <= '0'; SWEEP_HALTED <= '0'; + RESULT_INDEX <= (others => '1'); else case state is when TriggerSetup => @@ -184,6 +186,7 @@ begin -- wait for sampling to finish START_SAMPLING <= '0'; if SAMPLING_BUSY = '0' then + RESULT_INDEX <= "000" & std_logic_vector(point_cnt); if EXCITE_PORT2 = '1' then state <= SettlingPort2; else @@ -205,6 +208,7 @@ begin when ExcitingPort2 => -- wait for sampling to finish START_SAMPLING <= '0'; + RESULT_INDEX <= "100" & std_logic_vector(point_cnt); if SAMPLING_BUSY = '0' then state <= NextPoint; end if; diff --git a/FPGA/VNA/Test_DFT.vhd b/FPGA/VNA/Test_DFT.vhd index 225b4bb..e4d7f8f 100644 --- a/FPGA/VNA/Test_DFT.vhd +++ b/FPGA/VNA/Test_DFT.vhd @@ -47,6 +47,7 @@ ARCHITECTURE behavior OF Test_DFT IS PORT1 : IN std_logic_vector(15 downto 0); PORT2 : IN std_logic_vector(15 downto 0); NEW_SAMPLE : IN std_logic; + NSAMPLES : in STD_LOGIC_VECTOR (15 downto 0); BIN1_PHASEINC : IN std_logic_vector(15 downto 0); DIFFBIN_PHASEINC : IN std_logic_vector(15 downto 0); WINDOW_INC : IN std_logic_vector(15 downto 0); @@ -69,6 +70,7 @@ ARCHITECTURE behavior OF Test_DFT IS signal WINDOW_INC : std_logic_vector(15 downto 0) := (others => '0'); signal WINDOW_TYPE : std_logic_vector(1 downto 0) := (others => '0'); signal NEXT_OUTPUT : std_logic := '0'; + signal NSAMPLES : STD_LOGIC_VECTOR (15 downto 0); --Outputs signal RESULT_READY : std_logic; @@ -81,13 +83,14 @@ BEGIN -- Instantiate the Unit Under Test (UUT) uut: DFT - GENERIC MAP(BINS => 100) + GENERIC MAP(BINS => 64) PORT MAP ( CLK => CLK, RESET => RESET, PORT1 => PORT1, PORT2 => PORT2, NEW_SAMPLE => NEW_SAMPLE, + NSAMPLES => NSAMPLES, BIN1_PHASEINC => BIN1_PHASEINC, DIFFBIN_PHASEINC => DIFFBIN_PHASEINC, WINDOW_INC => WINDOW_INC, @@ -117,18 +120,19 @@ BEGIN BIN1_PHASEINC <= "0100000000000000"; DIFFBIN_PHASEINC <= "0010000000000000"; WINDOW_INC <= "0000100000000000"; + NSAMPLES <= "0000000000000011"; wait for 100 ns; RESET <= '0'; wait for CLK_period*10; NEW_SAMPLE <= '1'; wait for CLK_period; NEW_SAMPLE <= '0'; - --wait until RESULT_READY = '1'; - wait for CLK_period*112; - NEW_SAMPLE <= '1'; - wait for CLK_period; - NEW_SAMPLE <= '0'; - -- insert stimulus here + while True loop + wait for CLK_period * 111; + NEW_SAMPLE <= '1'; + wait for CLK_period; + NEW_SAMPLE <= '0'; + end loop; wait; end process; diff --git a/FPGA/VNA/Test_SPI.vhd b/FPGA/VNA/Test_SPI.vhd index dd18fa3..7b73d0e 100644 --- a/FPGA/VNA/Test_SPI.vhd +++ b/FPGA/VNA/Test_SPI.vhd @@ -219,6 +219,9 @@ BEGIN wait for CLK_period*10; CS <= '0'; SPI("0101010101010101"); + BUF_IN <= "1010101010101010"; + wait for SPI_CLK_period/2; + SPI("1100110011001100"); CS <= '1'; wait; diff --git a/FPGA/VNA/Test_Sampling.vhd b/FPGA/VNA/Test_Sampling.vhd index b115be2..a964baa 100644 --- a/FPGA/VNA/Test_Sampling.vhd +++ b/FPGA/VNA/Test_Sampling.vhd @@ -40,29 +40,30 @@ ARCHITECTURE behavior OF Test_Sampling IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Sampling - Generic(CLK_DIV : integer; - CLK_FREQ : integer; - IF_FREQ : integer; - CLK_CYCLES_PRE_DONE : integer); - PORT( - CLK : IN std_logic; - RESET : IN std_logic; - PORT1 : IN std_logic_vector(15 downto 0); - PORT2 : IN std_logic_vector(15 downto 0); - REF : IN std_logic_vector(15 downto 0); - ADC_START : OUT std_logic; - NEW_SAMPLE : IN std_logic; - DONE : OUT std_logic; - PRE_DONE : OUT std_logic; - START : IN std_logic; - SAMPLES : IN std_logic_vector(16 downto 0); - PORT1_I : OUT std_logic_vector(47 downto 0); - PORT1_Q : OUT std_logic_vector(47 downto 0); - PORT2_I : OUT std_logic_vector(47 downto 0); - PORT2_Q : OUT std_logic_vector(47 downto 0); - REF_I : OUT std_logic_vector(47 downto 0); - REF_Q : OUT std_logic_vector(47 downto 0) - ); + Generic(CLK_CYCLES_PRE_DONE : integer); + PORT( + CLK : IN std_logic; + RESET : IN std_logic; + ADC_PRESCALER : IN std_logic_vector(7 downto 0); + PHASEINC : IN std_logic_vector(11 downto 0); + PORT1 : IN std_logic_vector(15 downto 0); + PORT2 : IN std_logic_vector(15 downto 0); + REF : IN std_logic_vector(15 downto 0); + NEW_SAMPLE : IN std_logic; + START : IN std_logic; + SAMPLES : IN std_logic_vector(12 downto 0); + WINDOW_TYPE : IN std_logic_vector(1 downto 0); + ADC_START : OUT std_logic; + DONE : OUT std_logic; + PRE_DONE : OUT std_logic; + PORT1_I : OUT std_logic_vector(47 downto 0); + PORT1_Q : OUT std_logic_vector(47 downto 0); + PORT2_I : OUT std_logic_vector(47 downto 0); + PORT2_Q : OUT std_logic_vector(47 downto 0); + REF_I : OUT std_logic_vector(47 downto 0); + REF_Q : OUT std_logic_vector(47 downto 0); + ACTIVE : OUT std_logic + ); END COMPONENT; @@ -74,8 +75,9 @@ ARCHITECTURE behavior OF Test_Sampling IS signal REF : std_logic_vector(15 downto 0) := (others => '0'); signal NEW_SAMPLE : std_logic := '0'; signal START : std_logic := '0'; - signal SAMPLES : std_logic_vector(16 downto 0) := (others => '0'); - + signal SAMPLES : std_logic_vector(12 downto 0) := (others => '0'); + signal ADC_PRESCALER : std_logic_vector(7 downto 0); + signal PHASEINC : std_logic_vector(11 downto 0); --Outputs signal ADC_START : std_logic; signal DONE : std_logic; @@ -94,28 +96,29 @@ BEGIN -- Instantiate the Unit Under Test (UUT) uut: Sampling - Generic MAP(CLK_DIV => 165, - CLK_FREQ => 160000000, - IF_FREQ => 250000, - CLK_CYCLES_PRE_DONE => 0) + Generic MAP(CLK_CYCLES_PRE_DONE => 0) PORT MAP ( - CLK => CLK, - RESET => RESET, - PORT1 => PORT1, - PORT2 => PORT2, - REF => REF, - ADC_START => ADC_START, - NEW_SAMPLE => NEW_SAMPLE, - DONE => DONE, - PRE_DONE => PRE_DONE, - START => START, - SAMPLES => SAMPLES, - PORT1_I => PORT1_I, - PORT1_Q => PORT1_Q, - PORT2_I => PORT2_I, - PORT2_Q => PORT2_Q, - REF_I => REF_I, - REF_Q => REF_Q + CLK => CLK, + RESET => RESET, + ADC_PRESCALER => ADC_PRESCALER, + PHASEINC => PHASEINC, + PORT1 => PORT1, + PORT2 => PORT2, + REF => REF, + NEW_SAMPLE => NEW_SAMPLE, + START => START, + SAMPLES => SAMPLES, + WINDOW_TYPE => "00", + ADC_START => ADC_START, + DONE => DONE, + PRE_DONE => PRE_DONE, + PORT1_I => PORT1_I, + PORT1_Q => PORT1_Q, + PORT2_I => PORT2_I, + PORT2_Q => PORT2_Q, + REF_I => REF_I, + REF_Q => REF_I, + ACTIVE => open ); -- Clock process definitions @@ -138,15 +141,17 @@ BEGIN wait for CLK_period*10; -- insert stimulus here + ADC_PRESCALER <= "01110000"; + PHASEINC <= "010001100000"; PORT1 <= "0111111111111111"; PORT2 <= "0111111111111111"; REF <= "0111111111111111"; - SAMPLES <= "00000000000001000"; + SAMPLES <= "0000000000001"; START <= '1'; while True loop wait until ADC_START = '1'; START <= '0'; - wait for CLK_period * 150; + wait for CLK_period * 110; NEW_SAMPLE <= '1'; wait for CLK_period; NEW_SAMPLE <= '0'; diff --git a/FPGA/VNA/VNA.gise b/FPGA/VNA/VNA.gise index e27506d..2642c8c 100644 --- a/FPGA/VNA/VNA.gise +++ b/FPGA/VNA/VNA.gise @@ -22,6 +22,7 @@ + @@ -45,9 +46,7 @@ - - @@ -63,6 +62,7 @@ + @@ -99,6 +99,7 @@ + @@ -128,7 +129,7 @@ - + @@ -153,19 +154,20 @@ + - + - + - + @@ -175,8 +177,10 @@ + + - + @@ -202,15 +206,17 @@ + + - + @@ -218,20 +224,20 @@ - - + + - + - + @@ -243,7 +249,7 @@ - + @@ -253,6 +259,8 @@ + + @@ -270,9 +278,8 @@ - + - @@ -288,11 +295,11 @@ - + - + @@ -301,12 +308,10 @@ - + - - @@ -317,7 +322,7 @@ - + @@ -331,7 +336,7 @@ - + @@ -377,7 +382,15 @@ - + + + + + + + + + diff --git a/FPGA/VNA/VNA.xise b/FPGA/VNA/VNA.xise index b3331c2..ec70abb 100644 --- a/FPGA/VNA/VNA.xise +++ b/FPGA/VNA/VNA.xise @@ -17,14 +17,14 @@ - + - + @@ -34,22 +34,22 @@ - + - + - + - + - + @@ -59,20 +59,20 @@ - + - + - + - + @@ -100,24 +100,24 @@ - + - + - + - + @@ -127,15 +127,23 @@ - - + + - + + + + + + + + + @@ -148,6 +156,9 @@ + + + @@ -213,7 +224,7 @@ - + @@ -246,7 +257,7 @@ - + @@ -392,8 +403,8 @@ - - + + @@ -411,7 +422,7 @@ - + @@ -463,7 +474,7 @@ - + diff --git a/FPGA/VNA/dft_result.vhd b/FPGA/VNA/dft_result.vhd new file mode 100644 index 0000000..e4388f7 --- /dev/null +++ b/FPGA/VNA/dft_result.vhd @@ -0,0 +1,56 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 22:01:17 11/03/2020 +-- Design Name: +-- Module Name: dft_result - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity dft_result is + Generic (depth : integer); + Port ( CLK : in STD_LOGIC; + READ_ADDRESS : in integer range 0 to depth-1; + WRITE_ADDRESS : in integer range 0 to depth-1; + DATA_IN : in STD_LOGIC_VECTOR (191 downto 0); + DATA_OUT : out STD_LOGIC_VECTOR (191 downto 0); + WE : in STD_LOGIC); +end dft_result; + +architecture rtl of dft_result is + type memory is array(depth-1 downto 0) of std_logic_vector(191 downto 0); + signal ram : memory; +begin + process(CLK) + begin + if rising_edge(CLK) then + DATA_OUT <= ram(READ_ADDRESS); + if(WE = '1') then + ram(WRITE_ADDRESS) <= DATA_IN; + end if; + end if; + end process; +end rtl; + diff --git a/FPGA/VNA/ipcore_dir/result_bram.xco b/FPGA/VNA/ipcore_dir/result_bram.xco new file mode 100644 index 0000000..3baf072 --- /dev/null +++ b/FPGA/VNA/ipcore_dir/result_bram.xco @@ -0,0 +1,108 @@ +############################################################## +# +# Xilinx Core Generator version 14.6 +# Date: Tue Nov 3 21:55:11 2020 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:blk_mem_gen:7.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc6slx9 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = tqg144 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:7.3 +# END Select +# BEGIN Parameters +CSET additional_inputs_for_power_estimation=false +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET axi_id_width=4 +CSET axi_slave_type=Memory_Slave +CSET axi_type=AXI4_Full +CSET byte_size=9 +CSET coe_file=no_coe_file_loaded +CSET collision_warnings=ALL +CSET component_name=result_bram +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET ecctype=No_ECC +CSET enable_32bit_address=false +CSET enable_a=Always_Enabled +CSET enable_b=Always_Enabled +CSET error_injection_type=Single_Bit_Error_Injection +CSET fill_remaining_memory_locations=false +CSET interface_type=Native +CSET load_init_file=false +CSET mem_file=no_Mem_file_loaded +CSET memory_type=Simple_Dual_Port_RAM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET port_a_clock=100 +CSET port_a_enable_rate=100 +CSET port_a_write_rate=50 +CSET port_b_clock=100 +CSET port_b_enable_rate=100 +CSET port_b_write_rate=0 +CSET primitive=8kx2 +CSET read_width_a=192 +CSET read_width_b=192 +CSET register_porta_input_of_softecc=false +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET register_portb_output_of_softecc=false +CSET remaining_memory_locations=0 +CSET reset_memory_latch_a=false +CSET reset_memory_latch_b=false +CSET reset_priority_a=CE +CSET reset_priority_b=CE +CSET reset_type=SYNC +CSET softecc=false +CSET use_axi_id=false +CSET use_bram_block=Stand_Alone +CSET use_byte_write_enable=false +CSET use_error_injection_pins=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_rsta_pin=false +CSET use_rstb_pin=false +CSET write_depth_a=64 +CSET write_width_a=192 +CSET write_width_b=192 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T16:22:25Z +# END Extra information +GENERATE +# CRC: 64af2239 diff --git a/FPGA/VNA/ipcore_dir/result_bram.xise b/FPGA/VNA/ipcore_dir/result_bram.xise new file mode 100644 index 0000000..cb2b34c --- /dev/null +++ b/FPGA/VNA/ipcore_dir/result_bram.xise @@ -0,0 +1,73 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/FPGA/VNA/spi_slave.vhd b/FPGA/VNA/spi_slave.vhd index 4906509..7d293e1 100644 --- a/FPGA/VNA/spi_slave.vhd +++ b/FPGA/VNA/spi_slave.vhd @@ -46,7 +46,7 @@ entity spi_slave is end spi_slave; architecture Behavioral of spi_slave is - --signal miso_buffer : STD_LOGIC_VECTOR (W-1 downto 0); + signal miso_buffer : STD_LOGIC_VECTOR (W-1 downto 0); signal mosi_buffer : STD_LOGIC_VECTOR (W-2 downto 0); signal data_valid : STD_LOGIC_VECTOR(2 downto 0); @@ -60,22 +60,21 @@ begin begin if rising_edge(CLK) then data_valid(2 downto 1) <= data_valid(1 downto 0); - if data_valid(2) = '1' then + COMPLETE <= '0'; + if data_valid(1) = '1' then if data_synced(0) = '0' then BUF_OUT <= data; COMPLETE <= '1'; data_synced(0) <= '1'; - else - COMPLETE <= '0'; end if; else - COMPLETE <= '0'; data_synced(0) <= '0'; end if; end if; end process; - MISO <= BUF_IN(W - 1 - bit_cnt);-- when bit_cnt = 0 else miso_buffer(W-2); + --MISO <= BUF_IN(W - 1 - bit_cnt);-- when bit_cnt = 0 else miso_buffer(W-2); + MISO <= BUF_IN(15) when bit_cnt = 0 else miso_buffer(W-2); slave_in: process(SPI_CLK) begin @@ -99,14 +98,13 @@ begin begin if CS = '1' then bit_cnt <= 0; - --miso_buffer <= BUF_IN; elsif falling_edge(SPI_CLK) then if bit_cnt < W-1 then bit_cnt <= bit_cnt + 1; if bit_cnt = 0 then - --miso_buffer <= BUF_IN; + miso_buffer <= BUF_IN; else - --miso_buffer <= miso_buffer(W-2 downto 0) & '0'; + miso_buffer <= miso_buffer(W-2 downto 0) & '0'; end if; else bit_cnt <= 0; diff --git a/FPGA/VNA/top.bin b/FPGA/VNA/top.bin index db1dac4fd3a70eb2d89b644897af5d08e70e63eb..a47191b5825001ea96d0f60c1b3ffcb3bfb55a8e 100644 GIT binary patch literal 341712 zcmeFa3$!H3SswW3t2?WGN4H9}7GcSnD0zT3EA6>r7_FqeQb&&MMc!kHKHz4}tnhRn za4!NMW-VJ@@n|U~t1i^Vi%(sQWd;mn0lS7?twyW$a)8yD$>Q?zGUqgF`RsUY9t|=G zjuyBujD&`kYQOJ~%&e@sRrlV0=)r3Ks*H&L5r6#g$jpe0$jI4j5w1OU@ygajP8)gE zByz9HUZ1||a_0|T^|P^b|LN9m%Jr?OJZj{)-~Eqn|I(`-O&|TTzQ~sba__5tb2hub zFUkFx#PT@>}=+tN^He>*Wl=lHkH63BT`uA$ErlAU==J zWr*)i=6~$&sPS52`3uP{>MM_tH^=bV#y%(Dj_TW1MO6DsC3Z);mvpT`T}7|`HDZUz z<3p6^34b0+pnK~^_F7RwhVNG07cIq~Yr)~l45urpEn?mZYcN|ToEX22mT+R-&kNpR z2}D)=TfJ@HVY1Ihf4&5sFM;PvU_}YoidOp-`l`x*-uv7r0asw)*MGf<#+-Mc9e|tzdmNkZ9b=;nt)V_2wS6 zi&T*qzvE#j{>Mtn@w;>AH0fHIkEl}dzvJ}N4*xq&@+kgEa(*a&)!qZNP5(P2aXcYp z!>$H5VI^Lxo5dLvERim-Gy1W(^;JVyV&ngY`OQoxm3S6S~=wLUd6-yaOgeYc%`*hj)TBu& zMOdUTqCN?=O~A_PHw=C16RJb_&R|?cr_a;Dc)T#s%xcvHx+DK^1x67J4qN$@@nsX49_@kx&>RAq|1X5OOzDCKWdk!rs}=?$xJ?YCGlS`6d6#mB$`qrb&MYa6f?ZS`bh};E&T=hvYg_)4j$3~ zPIZbOW5#$De_Jk+{J;aVgM06N^2t>qca0XWyvEUj+4lAW4{S=e`@Z+xwbTwP(o2^; zOb2CS~!XN~Z;cpcW%V=5>NrxYv>1u#+N`x~|cuut-)i zZ^|v`J-KKQNY$G#7O`UBp+3V4%&rVDmMGC0i(-V#r)ZUVh5La;RYxaJZIxsvlYY2I zPQ-e3CX+nfLomY`VnJ}^0i3!u?!cGS!umUg4$i_J)Uh^jml^JfnM4w0PI$UyG$5>! zr-3v?@dRAd&fU2)mS|)q4f_^t5{-~4a5Qg<3J-Er!HAUsKa?_J(+{Dj? zPVW@34z+`%Lzg-DS%MQsVuRpexFsW4jAPVUr!zq)2$B*Nfx?Z@&6o5YQDB5Q+umCpqB?8bT;cfv_VwR7x0Nf=D?pEs5W5wYT)SZu&FS|s(5V+DM z#I=#VSllHSeMDVz9wc%m#i?3A;$(o*mCcQf&Dr+FXP()goj<>IUL%seUzSHILA)dR z$1wv(iP{3$zPPm|+vm67*7PGR;8P}AuCll5@a85LE*$u?4B;o9c>nvKK70K-+x9B{G$1aj^(kTPB0vkYc)d}jiR52X@x^q>?F|B6FflhH9QK2 zeaG?3BA^>-I-s&k+c&-*7A0;GGre&*p7tabj1@6j>O0BDk(F-N4NE?|(9t4>GYE%0 zbl!04pe=;H)|nWj+OWzdC_f7NWj`K;tm$r?4AV4;Q<;jxuRq2l-*Oo&sLCpgCD{Tz z2@u=Dj|gG}j@+fl(TGg}-BV21CZW@G(KPYYCPF!Mvty38#!UkZSH!s_vwDf4ZVqeE zE~FbdT&gq(`79fNcE^yR)ys64W!V&0yFoa$J3|=_#ZGgKBw01A9VS1}wCd7DB>Y{b z#5;5Xly;5=W^qh|;Z83EKHAIBHQX8PYN>0vqjqp6jxh}l9}eUh@6N#%!E_L52B&3r zAbU_r%pkcPufFsi+!2Tv5fJ z<+q)>^s=wBo*d5kHRTuHajJ@PN1h3*R*s8+@Zi-5SA`fiz?f$O9$p&IikizC6A7Zg zr{1=~Jna@;SIY-=Kyaq{OHaikr#`$8uzn+chXIF6jvYH_Y1XIWsv8HSxFX{dy%rD| zjU6Ohi|T`m6k;t(2$WF!9#fZPi1|Fr5z9_R&rK(0S~wgA5EYdYED3`j=XXFio;6gj zLJ-bz7$im=$AiVAOvg)pnm`f83z81w97_Z(euy4&otJesByK05&>}wHj7@BOmS9u2 zgO!X198ip@j3#~($R+L;*a4sm+tFQ?LZWcUN3CIi=w09=xUsNx7|0YB!x`8x!JWZs z0@`j6qCBDYJ+{*AH&iAmZs;WQq!Qjx20ou1F{Emaz(*Oewcz2e9x~Kh6^Q`qX&?|E zvmtmLbF>vw?Pf_nk8262S|6Fu8dkIIiOQi)1k&kH@(4LpbJDI&P11=2%m&i{oOW@a zCPwI|W(agZAq>!PLDmE1Slco}h1qxn2JWz{#xKg5JH{g23F;bPpc4InT!y`&nHnUB zkpv+ysvd5RSzi%$Tp^CO6Ts);?I`?3%K>dl5#eK?kO}G&YHp59kV%jx2ZiPBtaf%dLi@(3EyVjvOEO?>W9(99u9+vm^6@Tz?nmn48M zAy0ujH&w({IF06U1WaLWr(2pSN;pIxxXea*S1g|n1bUXujcD#+wK`oAicHfI!`p>e z!^!dy8UoHilbqsN1pkp}`DF@z$i;3IzEnp$$L({O3^1f%q~cj+a=J79QS=&$Jo6d zW;;6umkB(uVN3K^AZVchMzwK$go5*4WeAW$`Y@>1PkRmzK`QQgZ(5oFbroQ&mE|}$lKob&Ijp#bbEZF`33*zpDtF6>O=s; zFU6!>nccj~^`$3)%>&MIdC6O~ph^M>(Sq6pQzbi-kGA^{74@^x!+a4|*v@3@{3DP2 z;xB&eWBao&{$k9Z99w`~JI#qpPYnQW^CM@3QI5f*H1o|pZN>l8bQ1#i4OyeIrZd7)gsaF5>gFJIW?DU@73 z)A{*83izihd{gmyHt}+FdgGq&DRC#tBiWGeDd}rR{j$74?m_UfBqjY7P@TwnLO=ZQ zSA7*l9zOH3m#P2o%?){O_T1HTSI<53%+}Vk&z|3so3oqb=^Ql!CxTDD_ix2(1VMLX zssEO@T)04_w&21ASa4x>;p(|x8_|L!fd%EPq|95dUAspAWUy8?WPDrQ-K;$Q^!1I| z>~*ixyq=$(C->U5?St#*(Y&^2dY;Z^ThEYw@Z8DfAw@okZOYPf%a>8=I1FdcUdLel zb+6OBZq2qRK?};QZFzXRPz$iPSY^TH|9Du#D$46|ReI_6OV6(2Ez>N@!-`RtOP~w{ zjJjO#Bq4D;&4yb8?{|g>8y;K(XYKW$OfT+3iXQEB*RWU7O<4e7kn%{(y?p~5)RW6c33s$?^a?EE+u!Oa{=XC2oyB*+V!D1cWua)-Ui%* zO9%zky;#FIW#Z~ijE4zMJirw8LJcrZAou(IcFs z5{ZLhuoGamF-8dx5;~m-g+Uw#Uc5BOhao-lhlYu}dO<0|K02u|rtjqyTjS)&hH>IV zti-qil$4_qbe7|&U>+lANm7%G^b2Le7R$;PRt1vB5vE4M93@_$NvEBhZ|U+(ap+C= zkPBdi*NxCJV10w}mZkyg4%2(1PKdWms|1j60JY>{o)-zSHNb$FPqqk#27_OLp4`JO zvajU{HLhl@^ts|e#F~bQg3c3+HGrg=JQwr8V=<_4&7d|4z2vbx>sD<9&4ds{tSRI+ zQ`9@ERcxztTFiEE8e+hL2S-!9zS-eg+&u$B^0LHL2xq)4$4mu9HMU&H9G2o!Rh){# z={W8T5U402V~h}EI=1lu=P6^DF-2Fy)Rjrk?ewOuYxkraxPh}yoWqge*h9#QkRrh8 z!37}TLvA`6;Z0y%$vs6!&E$=Dl2L&%d>wS1;g&50C;_Cgjp`zf(eQjCk~|3_3>}pq%{@%`LAhw65mpVqm;~i?lf1o~akV%g~gD>if zO!h`m;Y<${s~k*ILICu=B5@|IW01BI_JSBs^@C1gN-p6qGoFkn`v50+2pEpcGV+KV3&Mo%Xvy*#|uitWnW*&W+Qa10P99GfD^kV1?(r z)Bsml_U9a_B-BTg8yLkiW<&Q1R=60xbL5Ami5oII*er_Tj2sjfurT(a?d_+Y0)ByX zF4hBWQ?3~;zMfYqZIs(S(Q}`c>HaRw4 z;lH4wtB*S+B?FC_R%2-Ie<-@=DX$TDUam@-aRd` zh`IJ%%aVWeCqaA?T}h%Z*0wBI)^sxb@JN{!a0Gi?e2$$z^oJk%L$1+9(}Vm;>~3qF zy$j86TmD6x#_(7DD4wgm)K35`^V&Ga&gK>76#{*g;ZT|7$cfAWTE1H^GkiJx} zY;@jVmxGVA80|*3RgjUn6!d|IkOHsniid54s$R( zfZJc_cP>Wb2*98xk}i^O7YkkJy1U}=8{(Ma?p(sxu12{+DMk&H^}iK-PtrXebdx(!RHkwP`d)^Gg@D<%wyauPV_%de)-Dih^%J+zKOT7SSUxi=0`ph)`yw#zPRB zg;3g$yP87^0F0k zmiD+5__FA6P@)L}U_*(ux>$?M3b2xA+B7?P=__Q;=Yl}+Im*OC8l{)PdJPFAjs}tGiw1ph7$wQHkJYozWhKWSbAGqY&m348R`<3gmNXolK4VU&Xe^j>&+wdX zkWHt!CLknJm+3XeO^HPe3%ocTj`z(Nkv z8n8|1gn^E6xC70Vpf|(>+OUi_cRj=8@oNj;R@iB*%7`Hhz|RGE$VC{cOQ(yMjp8^? z5MQA zE4oE#1QQm;P>UkYTUrcq%M7ctEUj~zHX3oKhlOQe{O;P1%&RZ42OagTE#z{ZX zyC81X{ax78#|lXT2aR~FsbO6D)$h|~{t1bL!FqQzLkK{AVd!Y}FmhV7uA@Yb-MVfXk-qSHPjOU?Inefa@B7P{CrcVtE->N4hY`+98PBbKq& zC`{u-mjYCC9Kn(+JjEFair9FBrODrkD!@nK`8Jh!w~EqVFg^;je2_7#(n%GEWmMOC z6pu!>4-*wI4F+G=Hp17vb39|M3)OwD(PFd9@rh!2j&*LFM!&DtPzC6Hn3j%B_ z3Z<3;g5jKhbSUwv0=?|knM3_#O*B)7nt&4Gn!Lsj*3jGJvM|FiZZexqu}jm=5UWCq zwv<$=<{AqW+n7bSwVso^hKi%!PNzG{cb-QWPmczyk#PlMxMQ~zqR$G|i(rqr z&rM8RW;q9aZJ6RJW|5&bZB^JiGb%>+w*`E;a=OW*-Qwu;O!QinMh z$VfpHU~Mqo%XX$7Yq^MQyn+^SMG&VLrZWp)ge>|!G%&v~-65@c#q%u3=|vIP#0iS{Xvm@nFBKxsi9eUSlfJc143e8vF_-GsQypzk7`R^AcY8GE zFgUOEFk?;$&=4_Y+7K`z5RBE}zy|tj0CV8rP@pWcY;U|fS5e0(&{}X4tI;ET%!mm` z1@uosi_}*9SsK21^U@=4 ze)BuuS(}Gxx!K0`W~FRg(+~L@2RA*9i(^lnI`#5H5+Izqa_Y(|dYiVfap{ok(_(YM&FZgqc$d*oF_mUps*XSRq@ljNMG`!TD3o{$Yiz;>|ugmnAH* z?lrk|=2Wr)xy+uD4JKJ!wjHS(Mcal;a+)!YgNw86&EEyoaES=Fgvel$sH-a3ET0+DZDb26O70;bYxd2(^Kx&6Dp zJGZE|Vj+ozImC|$m!m$hK&xZDAFEwY1g(~LQ8uyT&cFS)%kegRrF-&1YOo*<)>-iW z<1OH$%c&%ew>ncNi*wKbr>nO>hAb2TYcQkjQ*x@9e%`)0o-8>4kkB; zKs0)t78wqQkrM8aV04va08t)vgCph1bhv-H!>WBmxEw%n5WQLzp0pjCf)}urT}WI7Et+!10!gI27l#(QEF=xezyt_1fEmSl^j4>58|EzqQfddFS7|k1 z)C~pCMFQs$rO*uIyF^E}L<1d@Sf^bH+@9w$gDt1fW#{aapWQ6A87!+qYKcImDX3+E z+r>>6(>xaG6F4+uONipdS|%5*088BG(3a>9=k$5##3eLMIstZ}F=F-6N%OT*i zq2?@E=Gt2rtj;U zjlB2}_=rdWUhYHd-F8*fQY93yotD-=&Z+5Z{9Yz~sM+OgUl_44xFM1jw9A&_*d-k3 zDia-x6F`^8v5?_K#7r!H7{yEC`dB9!m3k4fjEtfPp^0GxmmpliHAx2|4~?S%BI{s; zg*QQfHybVLfK{SC%EZSnt9a|G7ZK)=BUs{~q4WtkiAMr%pL-bGF?mha3sZ3+b<6Oy zV^y(Etjfj+l?d6&SK>OS8+XSJud{N9xWksrMiBK3o0#(oktH2IlCe(BBHaPyL2&9G z;bcj#FJdH~7>rJ_RTK{Edo1RNXh*PI=rmdSCxKubc(KfTtxiplTTi*j{nqJ*xN^O{o^$r>wiLzr^RK-6%5$XXo0d<^o@mFry*AO-usR%QZ)4d4ebX|Z z9IhQ^0yuz zY`JKOTR3pP{HvJQ`-xNXuYc*~p`_p6eR0tH+kfL-H{!3<=Qphw8f;GRWyXncT+D|_ zY=)I^WN=q2rF6g@I$I9I3H`w;(&#!JPDFv@y#xj;oxIzFE*5n1XvOCBBE(Vq6)QFj zz%_NKvMsIz?$oQUQ>v!!4zzW5(F;9X+_2{s6P~BTIehkR;tp=A^pR)!xoWg{y1?$! z9CD=Pzeht_t%tiU!n#)YA&iyhVlxWeU*I{2)kt`?vQ%Xm#cfx@!_zcp~U(Jl)X!^8#UPM`FlfzyYBt|~$R zpPKbn7-S!>w~@{q1B|+m@*{sQ?PF1*#=X=!x08-zgT2la0=ll%_7V0#j&f@P{ive2 zidg&gX;I@9d#%UR@el)-M4yw?R@LdVz!KJ9-Zg!MGF&4a7yl&uj1m*Ec%Z{e8TRJI zONt;AP*}oW1y$*_SWpPe6^yn77K)J1D9CuN48ZNCc(*$=J%h8s4h1QjI*vD&SW=Tt z==U;{3TCDo} zB@71+ziCfUv=$~A_9Qh}v7U{4QBT4GdyM0?UsjERbH@1q3Tyro=fhxyo-cUYa-ykM zIHW}nct-)A8R<7^{JX|kgbQI5JC-EU;K4oWc=A_oErO9C3Lb>1j+z_YiRCM z<`6WMg;84)j8K9oQCtS~`W)nx$36^rRKucA!RA&2062vV@fIsuZ4l~vu8_nlt=t+a z=X!or18*+guO%3D9fTPcL+u4>5h4f11}PeWCI=JH{%ne!38$d&s!)o>MKaFIf~|l! z8ifqUCMrujht@Y>g_qH=gk-4xx}+;QO3)NZZ)$Lt$wFR@xmy)J1B1Rhc$rv-S3-8D zTC@TehXaYer#Xb3jZ z)APjjycwY2?g|FqfVD`MK1$T750|VXVH#w5S_LR?!qlQR{aQQ4o0AchU_n7`n-YOC z2m@<|pp=2+*6N$EK{~WLYPS{@gmyyz1aRXtg|hjay5b;JzUR;Jn%?+r$xD!H@Ro#< zS30kL^=$LQ&)m0FGp}6P-v021@4K(YIRfl_6c|Pln@_<%nBfbwc*%A9K>o$wyL1Wf zB|f~hg%7xF|C@hxMXsMeka7!ecj7hJr|_0%i5F38P3!zuzxqJh7Vz5_+>xi2-#qXZ z(5D46yz9zg%|T{HwFa8gBBloS?4+_-ngZn_hE4l}mkMH1BS6?8t z%9Z}I1*~xoYc1eQznl1S%;q+1M2a7VJlhGV+ncn2@hb~77hd0Lw?H+MgE{us;xsOb z%XmtF0OsCLCHHiH3GdOK(l={!?nULwD2x`~r$s*{uP7gi&Qy{uiM*utC8*Y)daAzX zy1lja@R`}QC!W}zJ^ZpWx?2^w`4eZJqrX4n+oyLIT%&Go!9_j;-d|+_-XT3fZ8j>8 z3xtaT`h6Xg0V)t}^2~D=;Wy6_7DbQ2X7XR)R(3or8Q>#$3#76D%K`SUAFpXy;-nTV z#|z@!J-j))r}UnIXK*R!oie~y_(A05Nf%z?i97t}P~A`Fgj)?^o6MNM!|~AR(?lCi zotD9#HJ@5&553~RVbxkY*D-I zK`rW@|-%S*@t6N?8WrB1Pl%>NdL!vX{(Ti6KHryw~^@3XkgujsrAl6MJn~ zbbyCQ8Dil2z(h(M#uqcn2!)PALCabVtV*V$<2temhHL0vHZ9W00LqbYh;w0x`S&4{ z)h@9YDS4(4jJkAqX)P&7GPQ+sXcn|^AH=8~ z)I;>J2*$(VnC~wG3NK5y1fh(}vcy-E;uf7kd;qXAkCrh3R^3IXmkoQ^8ckbP%`pf# z41EB2V1}xwxRwI0s%b|V5*ly_Awq*!0fXoy8nhAm%Ye(-Y9&AnJH{|2gA8a~b$B!mOY-a&cf$4LoT_?E}N$ph!y{{6@l)1rWf9 zfb~)qY$v*vlrkzO2n?8qfV5TgN)T-zuLLj$uS8xG;}~P`3A(2RPRm`q=^_SHEZmO^ zyj_ED2~CC*%Qs*e00!ZO>hwG)9pk8!GqeB+zk!6pmF>MRTcb<<>3%C+rk2{5#)wdugqX zb>>?)-}M*o{p*%ojHmsiWF=bf9#s3DoS(acT{Aq$z;OBD z_T2gt=byMO3+gd*y*{1m)qh^sugj}TzEE=sNpU~+YVN)Jb*E2BCzphN%7$4(aam5S zoSm=fwO>c5{Ku2WAK#uGUZYKRf!|B;Fk+@_JLVDjz5wB&i|<~jow>wv=%V<&uzJy# zHqS?Dna8mYnC!D`R{{121K`op;&X_q@K0{RZvs^R`=9zhmeaYod2zdV{5@;WBc2c2 z?>_iYaZuD%$B8p&ApnB|?cd+eh^z%%-cJlhCE0Has?c)O z`Tf94Eb#3D)*ve+8(ur$`?p3($f^FMa!DlLGH~1AR>s6+g=LAew@4qOV;N?CY#sxT zQ7N83M%cs9d)C(!?6O|6V$VHWT{3J}Y2lnxhqOqY)+j5qPdb$O&ZSd}oaLzAfssii zh^`XQ1@LqD3nY)26|^?VQMEz7kt)VY3Xb-g>hPg{owNfP?3ljALGTSDqmDUf@$fRu zRgZO1&Do0~Ur_au1K$atn^)a`%s4a=0@nl&1-LZA7k15}jw)L<>9CI|u&`K+$?x;< zbOZ-VyI9f8LQZ207DPg+E)&+`@YfRytg6%!LDrdC!0B;sic*&yw?NSEGzB0Hn5k}Hx%z|n)Qc@C zB8P_u#o7|IAoCJ)sajgXvMNsu&@jz9^mviu`#Kh!+~YB_JLcjK$d9otIVu>#w=Op% zuB$vw%K{Z&=Ar8LZj}s2dRUeXEi1q|aY{x&h*Y@aptS>3aq@O?@C|S0pdBIX52QZA z`U6S=Jc4&Mh^HeC&9a|4K47p)YdMG(Gag8mJ_8PZ*F|%J4&H!vSjTLOc=$U#P?}ki4u;U;s z3oH2SFI!@1(Gp5D0<;yG@Kh#*xO#{|OX5?M9MkcL*an}nZIjwzSCys{r)1*zL>7KX zQFa}+&cs;}yO=ncnL}vg(uBB=qAn2s!im$=k#gN>a+mt^o@Djr%8%fOT} zEQyOP(Gs$uSP9S;u>nc}{?x>%5GjS;2*pzf_ESg976h#@gS@g8I1vr&f0|pM7UaWl zx*}C$WvTFbY~1o6q$Kb>W1x=5a04%FLi50ldGK(ElcJ|CUBTCVFbYAJn6t(BoQ}?2 zkMYv?yU9Ow=@R*z$9@PCZ$K~I+um-IQrKX>{UiT$@fGyQ9Gst?`s1;79Amua6R z_!W6U8Zq1CT>JGAxg2v!(8(TGRZbZNzQ=HYciMedj$;ZfTAIlCz-n)5?FZ;^5 zpZ~vaF6ZfQKDhlAq`mUrKlxuS^BNpQKDXf1DQ^MNnOks0F>V>%SO6Qg@iYY>a`oEg zmrz^u55MyRjn*n~`ak^Wf5PAwzUfE5bD3A;&AfFze_i{()y!vaY;>@L^lwEk(Dm@T z_jF!jG~bNXFI39lcdOvI)-MSpL%2!NiqUY72z<>&yjA|x_Wm=o4V)r=?EKX~aq(I^ z${)P@4}PMJuMTr3^J^Ek_rK$fKl7)nC00{mzzPfA{nobh_rLtDFK^?k!&k3n87=tj zcRztvb8_JOAlpw}`~E71p})WV3$Ly4Tku~0qQ4Tj@BQ%)eD60-qw5>|7SqWw^B8hgAx0mmI>X7A1Oqt?^4tW(^XIagk z$7qER$;MF1@fW%ttY!lmdWs61%<+2b>y4+^6LB6>8}%7S&24wU8J`uQkmgv|wk-)>nec=LO_d+4Ff86u%4HM5EVZV92RnhyyqgkGXRMpkYC^ z=Zn|msGGmCvaaSLfhxG{doncRLW2a$N^Ds9@O(ZUG{8u-9v&c%akK#{0F}+7Hm3?B zlB!}hSQ5Tr0f`OXU*tR?r$ST-9WmeI{Ss7af9*ATXD6rwd?EM7k8aqB{Ei<$51Nwm4eH z$YdknT7cm3TiP-L(Y@G_PXX$~Sg28bX5&gBbI}6}9Ct@wovlMiXSA zJH@=;!lMF(J#~FZpqWi-xvB;DssK@A@VU#5ctwqF20A$U3zP)}k=1OB+9}D=yS62l zNDK_mZ8UnvmMNBMpdB2B7>{`%8lgvyPeL8$J0-0s950GjLNrhYruLCCT0kj5Q5ZZd z85UTW&Z`z4rK~JWzw;zSP}Zx`QGHcCSn6H^#5_&XlK-gAe5>pY<%^EmNw}fZx&Nrw z@TktAU#gh5;_2LBJ)76^H?&{<)@v6p)-zkF{PO;4&F5Z>FFOH#1F%}+C~9K?1GJ#> z)q>f(FXG#(`+m%Hgazj?wglXk1(-EGVC0t1p;=Tlsm+7$ew!65*RMZ)cK?I>AEf9a zbTDW75Wd@#AaH$g`@2QKbyzFq+LGD=j?JIC-&?S;@$^}=#uX<6zuu%4z}NCzmlEiU zv-MsOtH57Vy(7Npe<(Wt)IC32b}yS){syl2ee)M|Ub}w#y!NT)H7F#mY(6x)#7Usyu6?;XIE<~gSm>1WFO~+gC zp${D%6V~ed_o!=3c&)3D+HZJ^f%-HQG3TSJSNHd?ugxV!)_CZ%7=4a?(SI~w-(Vp_ z7Co7UvOe(1`MV6~qb$R6^7XQkWnZDsj8=*Kqffr=n^)0EGh(_i#>5(u*j6;s5#&f1 ze&k2Zq?8rS^Nk^obcyL}$h+((wEGJF+Dyr#At1X`X{tp{9l-t3gQr;Ot9-*oU{RvY zxy`U?;<+YtYk}jM`?6ktqnxLqcWgy1Pm1bBihyTyhRUlPr7dgNX-goy5CgbTKI>~a zCK;9ebJo21^74o!Ylm}KE|JVgERwauGpBkD zEaaNTgy(>HArB=IWgV4uh3L((m7)4{Qo8S`^Y!8i<~3EvuNiGlE#3ADZ3?UkN)9n~ z&G1}Hfs*hTUO2|bPHAM3z)>jS7Kl-5Y@Zf1Xi1aD3>E4!9{8FKp8^PTAe&H#-`Ksz zSpqn`W(>}Zssj=Adk898(JHn>GOGy~9fxDFV5a>X#fsX>@JyqJbg`izzU&Mhrtdgb z#`6fiPm61v(K_uRjB6yz&B9e%4cilDBaKQ_RNLbFzVX;Vd;zirjM^3(ZI44Q?UpYH zE+D?F7D&e-(5chu6MPXCH5TM$f6AsfDY4WLxJ8&#jDxA?Th9-QGFQ1l4!%l6(*Ymv zAx5LY5+9Tee>sJ$P9d9SHi8A%u`L^nqH#1q9XNdPXosI?!iApv=^zF_9a!aunDmBl zKO2DWC17Kw8p=k4Py;2Xfq7U;A*)izB*|nj?q*qmIo$-as9`1$pN6nx>LTS&J6Xo> z2W4e9oLbM1CXjciJTEb}NDdU+GmVBRH9#Fwz_*^khi^?<^K(tXAP?Bb1B!wyk)3>C zr~Qc}1F?yLyq0nRKL9_F2|o8eHJ;x$5X$?APYp;+0s{?$e6GP#L*V(iiUbxP7Rd+M zv=5^YIWisPTU_O=Eg%OJQ|bs!EfAc!>LWbGTsJ5>=iTs`BzOS0Q)|(tLKI$0L($e? zRKv;?obWqK2{iSmJ7^pzYv6b^0z5M!%8x84+3pVHYQDiM`jo3AFl-i=q0n~P5_1iD z8Zm`Azwjwcr!GO+qqVr{cp8-`&e9E#97+)T5|rS}0N>ySzZ^`{F|2bAm1Cw(`N*f& zfV0C*cc#&(mdK{6VW;6Eg?t(n${#l=?AU3{w-*LBh^OH+Kszh(?IonJ7Y}U7?=Zz; zk$3RRXc}~F;`w=E5}OCGwbIbBQfa6p#))Y(AcYQ7fQGWxVD~&<%TeBV3SU{eaT?!e zs{M-oVD0Ct`DZ+zUv^p}(P*eO*M6} znsG__b*C=>PQ!nds|4D7k>fNR$1kDP;1{b~C4o^4t^+*N0mF)8h*V&dH^QA*0z6)> zH1JMc10+1?m8eEqe)~pRW-Ue?G>jQj_Z$|K;SwANYj_ozmpOt_cgbnRUE&;#x}(`E zxON5YD3UK5E)PWk;~L#AE5@^QTCh+oEqc2`7RW~+Q{~M&d3Zp(lzf=-ax907Z%OV@ zB8+t$VEH3nB}JoydgvQ2>tvq~e2c3&`6V_2Zh=FXd`JN3_9+G6iZl<@;KOS5amb$(}>40mIh+Pf{sRL@k*b8?+eb4Knl$_^`I2eA-d9KWqw%iW=5t$ z-eVbI*1@B2gC?{pkdlpl81jkzWeKCE{)mAtoL+%X#l*bFcz|lI825ZiR`LrPbnMXZ zDN(lykwUC*^ZsciN&{KPh?r^EkiNxXm~YjNe32T@TLbx!wguc=WxT`T*U_u_Gn1AZR;YH=Gnw z>~=jFJgmn=V=srX${Fmzj7jP7Z~}CKVj5M=RJkaS%BP3d_tBV91#7^$x{dpI4t2y* z*exar`ir)SMk0hEu24+-1Ba0YkSVfH`znBSjTA`^ELZVbUi0k385c|8WDm_hHyKs~ zOSFg2n-&t`)yI-4RN%Cj9WWk+>_m~Bg671bz)AD4hZ0O+79RBBmnjD_HT#gS4G=}_ zS6xnI6k_#dHyHIW(L5TJ#XJI@8cAXKgptJ9;B{n+{=39B3@j?MhAukh=x7^{a|#IY zG>)fO@6FxRq6y;FO*8FzTt! zc`YC>jPu&!sAD1q9}YB{8hRaI0lC5vbrPci;F{2f;c0}o+R_Q>1ULU9h!zn=1;)G3 z>m)&GH-db+#rInKkn%8qz!4U>gjEmlr(tjE_)X?LoCIT#M)UT9J*X%KaCEZW!A{rh zWjGZqU!!e-LqqTT4ge=U>}N8K3g5OyLaMu4bXM=S#~v87_vkMBqp z7EAiUpJGY%9`-70z@i}-)Phk8HI!Aj5ore=6}dsY_FFuqw{XE)-e!bC`svn#mSJ0P zML1tU^Q23se)zE>dGSvXd-h+tt=a5P{_)4Y;UlNM_4i(J;S=}IeyNVgSNs46bbkMr zAA2XaNc!kUne{Jv(dhF@Jqq4G`KIRUN6T_!EKRK~_}Gt@=DWWqK6oVmN&D;@zURk2 zy8Y;v&E+?-h#1R=0rx#Ncp-K{y3eoaefi#B`o6i;>d@2Q@keXl?OPq=(@DJ-pHBYe zUp}j=Z95IUcx?;6+w@2W1qS%)ulee)`G!ADzfWGW{}Pdx z!23tv@{iwAOVWR}`TT{6ze|@Md8Ey6hiO3&-8YhKgH&bGHG?ECs^XotI^=*9XJodC&2OkoA$LYI7Fn?J7ph&^l!5@^M zxNJlDXSgI(fG4W+n>$ZEKZ}TSO=IZJR+XsdB$b4hgyt3mu7xZIzwtw-KK7pXY|gUm znXU5dO|6|}8REbC)<=K(MfZHmCq=G3bn&5OiTcgjn*N{t#eewWIt(96Tw2&t@X7J( zzXor;9$dW&3!c9D^b)&4|M?&P{tLhBd;iz(UTs11W^E<^@mD?mhfP!ltX)8Bxf*&kk zp9#dRNOTBVSLqDhE_e;c$DTJx-U_qNR)Z2VPkc#eM$R<Ga5uz7N&ufxG|~68u%* zD958d3+QwEgB(MH96s~JA4lwdbk8vxV|YsK)?;@tZVj4Rbg;bsiI%2;CVv?9Z%y$L z(p+&Gf?xG+i@xR_{nh{mOdV1+*asas#1S)x-D=d{1@kkL_jg7z*_D2=ecdQ7sib3dWzODtWXRc?}Ulju$r6N?E^5^7v zsA~IsjKp$4tJBg*4P&IHFlka6%bXKiSfQ-T99g0h*nVV_%zTn`+n$iMoOX~9Cr zzC~|>t`2E_ksy5^R*tFpYkUW~wJ16GQu_?Ahe~@132c@(mkR?-8EJQ%{SoP5tgcLq zscdyZ43*G!zyVKPiSXuak=e{nEEc-tT3%!yf(*%GoaMma(SXx8X)*Zl`%>*;pq79M zjf0&?jATjZtX6Urg&$jX4D&@w{C3@B&qdHa#fdy{gG%g>*Kuk<&mlH{Edb&i)K0|0 z58>0O976N&Nl)hTNJe&aAX)1wV8|rFv{i&h4eRT_x&q)sQF#?j}I?n zH}EmW*2MQz$~W}N2%gliz*;<7<9dMDMi83H2!p~RZ#AV#zU_rXcv7*%0G3S;M5Gr4 zf@>lk^Ld{QARXb$-c(p0jN)N|632lFvS=F9wDtprTDtLhNdoL)+ztz@(hcVuGYq4# zdlkym?I7#)6Tv6EqC8Jf*GZVzg&TxLd&+PwBL~#tN>gS@SvY4Ma0k*#=;u8?ykm>; zQq@yTm$)G#AW_ryCrN_R#TIs!V|HF`8rao@qom(2*|2TYcU|dZyUC87U^CiAZYdC0 zEl!pn$_lQ(0-&-DZDOVKK}_T0+`!Wl-m5eou^RZCqR{wZkb^kJ#nc9v!bGMpHCc-t z?LVl)c#O(L5TyU;gMK%v#y{inqxDqW8}Jv#`^Uxlr{8*UKDNT*#O?j?VSNz>?JBj?p#UK0Eja+2`*OvMfmfpWL3*PYkU-9pL z?gy{DcKFqA`0+;b5rJ9z1xi?O4QrL_)cwbzKxAQfXN9I;``_ODcSyeX3;)T3-~9_O zz4!n9_A9@(e{KI-dA+>8Li`YEVXW1%piXqbKNOpUAA04t-B16&e*2Zb{0|;}%@^$M zzvLgx@O~_Y&Re9fgnA{dA2SPft9lKt|3!2;dc(#&-4Z_5>@NGb7&~9k z(Kkln9F4DqYk-FNf!DBz8*u;K6MxhoRbcZyv-f;+_ESGId)dX8UH`m){!NSgEW3KO zc=lm!|JURl@96%@=Y8<{)$7@!6fPuf!2anCx@5txlm~iZHhc!&bMT&5oc@-NUwfIn z>?eNi?_OQt30|t*sx6pZe8)Rp`m6W;#>Ul+WeXM}{oco)`LlyhzJ4L^3j#~XuWfyk zPCLK%zj@--ODv$@`;PwouXxR0y@#Ba0WKUo^xS_RkH7SvbYAy6howE`_x|+H{_Msl z&!5{uNI$MKJfdv|yzyg%HX#8zr?E2(qhabu?vUIe-oxpIKPZ zYyZp|^chQia{DP4$3!*9@Y`(LM*bXz%}H&JPb&CnlUwX<9JX(9IrlA?#c}Wqmj}aMvr~r2j2I6$|s|{>P}KdA;-10t+e+x10s`-9iqvshozAjr_WPF-2=UX3B zHH%3vp>t+x8OD+jIq7`8mhtp_;E|*q}ze?;6zCiJ}@;Iat4l z{hT|Ph34{d0{tQUTtln~0{s{?LjCp-H=i9UN6Bz3ehVi6jPXw$eW#7CUzBci8B`571?Xe5U5KCbU#evW&N%=PmI}qeKHs zNf<0~qY*1WFB zV}aNpt_j&Dr1e*05t|wIAID4KPIk~b`pQYW)u%)ktCsJ4*to3<(nSkTfV0^qO6#${ zM%|GuCt)w_cI{-07v6ErE)&}w=Vnx5>!lEj-^(!W+C0Q|*6HFJ%b>)vlhFuY3WFwI z0ZVM@;9nX=N&vKPw8X-IwdWm20Lk%V z$aARPs3C{oeFze?a5A(ztyU$?9S1%RfWB{zO*rt%eKZEuhP!Drk*-6u0Z(vz=xx9g z8LQA;hZPz*#%sVKR2@~ywK){wJ#f|6w-JMpJPaiqclS`3!LDB1c7iNS_X?aVqi)V6 z{kx#!i)E-T7H5irpF3}ezkP?e1`!pwC4vcA`+R&?(h%aF*|;lB7>yb} zHVQ|v?{d{YHq=I|Kq}CLy+W}O0KPENar|0f51-Q&hwsQ`kZS=jHW6{v4=kbtR9b|u z{^1g;Xxni_bcg>QT7qPI{cab@>&r=DPWC?gb9inQokqm+&2)KpEjsyYA33RC8o*}&w(t-^l z7JxN`;*Uou8a*T;?%yI+xznp}IPF-es`ixA-n(&(FW|p0%cC2oU*6WVDojm}irlDp zwO^s$^ZZM4W&2=uW&4Wm(>*)*;qmsB*};cr_v!lO*&{!^N$HQz^mTZ-d2{3D4Bw90 zl-4?LRd>H^$hmC%Q|Ge(Ydju5=94(i#X@|%Ac3?Ggjy;7$fZr$z9I)7misjJ!6g(f z;L_}p`ZqT=Hdb38_s=%k7Hsp&XU9Qh!Es`rdj8Fu2WsyA?9Fd}_4!wyulEUf=R2eG zFL=QxKY8hqn>V+&H)oGL^5S=53xP*AXL5jf>Xu=_FXv~C!Ttr2JoVxiC&{Ht*eT+% z$BwOv&CP=Yz7c|b-Lwcniom8fps)UK9wIFKqJsDK3lONua`OI{X)aBgNSZ z=gS{5xPmmGFa3Q_)kXL&oVLbqX~g{ubQOPnUN$i_U@yDVw_Jhc9ew zbnornyBYai@g8{K0C$ah@5Q-yQOa3K;g8II>r-d}Q#ikQezrZ^#9sftbw2mH=X75I z;94%TPkiE8{rUU#Olz_-0;|Kv}g2wxum z6j*?s0qpCG!Nvb*;2@?R$XKzsPq7DrU&?ELef_64KXioWBsF3jDJ7jbxOq^Jwm~aC z9vl?%H-~9OsVq^3nX~I5LEI)GT;f=!Es3l^O+}0A0C9}r3WE)s7$z_;{4GG0RscWn z12z59$bw9bG%La@LTx8sL*3O%!b{JNXFvPJLlI|O!XGF(;J~DTrd(fr6Bu!@Bt|13MIcG*e3-)ubimOb415Cj zl;Y-hc%e6-4yLLj9`*4VBt{UZOo#M2E?^9cnC$u(c8H8kV{p;eu|R`x3Ni%LRE>^G zG3=vgpA6kuiNkS784HrZ;qy%GcmNYaA+rQT`#uxCPai`OUNg^O9lD{gDs^3E27{tP z3TiPZ%EV&FYr=S;ljc>;TQLW&0V0IeS;AuQ5csmJ&KjBp3Zqx2kW(?WOcFz^Ta9%v zitn(u$6d(qu5H!nB?OExL28F|Bh~tz+;}9njJ2cu1F@i!@x;wN( z5m5XYiU7~Wo+)9G|D`3H0?Hr7t0ji#SgD&L&9Wc#BN)n^&tv%ftPUy%@r4Bs3QJqB z24NbfS&qGtLldS2y82_`IUDy(4~YTCTgYrV1=@#U!!i@T*gc6|>}-hh%azo`;eU#j zF-3vJ7*V2BM?l3Plc1FZzswW)wH-^s`&k0N6z~Qf&C9P#}?k3mp7Gw~tZH z1XocP^kLAXD`)_N!Yhd@+lHEGECB}yYV5Mxf@y#Drxr)Ch3dU|n9> zla9wGWxb4JRAbmrd*LKzOOSL2pMJuln{u3lIa)@!hb#(4=bbUe=v8!-N*RRQTp}%F zv!kPUjB4-}Nm?d_Epl2mMJ&;4f+RUa5_F`i`b`a0l?>wD>LiLC*(LPFrZo($NU@Ue!0 zaeX8*9Hnl8mW_Su00Z!*@EsAsl>h;}Fy8EcOJP2Z;2E8tx!5ArexY+Q-!o>k2#c>dnVB=&BOQg)x7C2R)VPNz=-kYWd zH70h#OABgouQYfYejKC4z`QUTk4G$oG)5$Rgd(&c16O-k=|kcuZP=&u(QXhh!2J!x zxRL8-Ips6Av{ zu!mxXaSHgpdNh?N!x)}>NMv|Mg!=7dxGMECBs!3h#ks*Rgr(r4KF2n7Y)T$7hwVsQ zm}Y~h=sFus%ZWiI)2!@Uy9;Abqg~VpEcFIp$3Fvoz9p(g=;DTkXn?q`VpmCIP2+`q z#O_2Tunr2U4A6qY5iG!E3VsdPKVXao!e-JBhOn^A12YD0ApxAl8$t^!9>wf&7CZ_K zX2Gsbb^q0-Io^e!*B+pV4GI`=qxx<)e1*pMXtUdZr}v3JNLD2`%IZ)h4-QMF1;7*p_o=F{*&g(Z;6i`{99>0>8_2{`>ID*p{`5G%U!fK;Wy;@Ex&(6)d{(t`GYgx_U)-RritE?hXj zMV}ThV{dxXwrt?*)7&9q(E@U73y`?h0#nyo0lsWO^r2{T;~soPJBPmkpLmd$hJPFM6RBht}N<;o~Z!{xV+E7yKu#y#cLo{~4M} zi=Rzy-n@40=1qLS?IK5uSF`KaF&3SfN3gO zmMu8@#Mvj5gUw0k8}lPS;_-G}_ewW3y@ z()7`(5-h*q&Rc=M{?{?kx3pmxTRg=vV!I)_$T4>A&hUVkw>E17_Bp~76DkIGQWFK$ zwoiVd5Hy9j^1atzz>Y&4&IgBSX+ct=J}}%q=#pcpV9w|K;(Bl*w(6i&w;bddH|!ep zE*&%XUF6ioNHnujBsmgr!HymP5o4 z_W<1vU5NjWy|)dSB)jfBZ@gr6X1S!w99pQ^@me>a7xj28T*gSdUTR!7+6Mc>%5DZ~ zKMZ23dI!pQ5QsGx#17TE9hr|epZQ?rGcW?NyEvW?25*g-UW5ncgVm0tuot|7HrPY6 z42%i{+hX-WHPh45s9kpM?|*JYW4OksS9+@RJ^5Cmt>XdGb24-qk%asj?o9{Dkxo3k+@!; z6|ZVR8XYgUnYLH!z$u8Ez#B%ck{lLOAAs>HG{J?*v~tn1(V;a@;Y?SvjC3F9ZSYbq z=m>PNO7=5%LNLq}9YD~$RV0_kTy5A^2APS9C$faXHzgN+ux8Zi?X zb^beTF{ZHrPn0|y(CeCmr4zzeVY5j-TJhl>rY=0}HN4PrIiHpXbgC*PI~^CDAF82E zHI@XdlrXuf7Br0GE?XV9YdTxiYC>)f!Xb4W5NaAxi{fHj#aXZzE&b1qZtb#WUMZgj z=Kd$%I&BIjsl?uBWk}&$o87unTHQ36i9jtt7oA8y@iTfu^>UO`FXLk$8c*YE=i^c> zB%79tx!Q11b0+O*IU5&?+GWQyScWUMADtuhnF;K-MoEpjDX@|An)TTYA%mrq8&4yF`6Qya%e!)%46`sBYLgJRjDC@HD$PN zGIE%O?x<5vJzeq)`$%aLeklEr@Od}yh)Xz!#u+^xViR(BL=3WBGaJ_tX^b)hsn@d- z4jf#UIZp~lvoc$AfY_?#Lr6SE8$?;7Osf{1)A6Ru{$W7$FX-oNtiT=*}Y=%49qr2ZZX1 z+E12n()Z!;*ERkA^>{tyJ5jV{+VydbAl|~~p7=<%p5*g&gFQuaA$%RV$)Mn%{KI-W zI;QOBJe=1kK0H%u&Wm41y&j=Dtcxj6hv{Oz%GVsPw}|b!8IdxzkY$p<=}TY-S&^@2 zJTK?8dY_@^XBIwh`*82e_2|$|IJhrIxHV;{s79GNk9(bk8KVR1hJ*Xil4l{K8fLC* zj$H=FY+Z!4=Q%T<*0VWJ&!rl=5;RyhOKY2JaDd`Eh0o^=w>osql(#!JIJ1)g9=d}7 z56+3N7jvFD%+wOKbLgZ{GHjR~a#zqc*T)6-1{~DDQ1<6!+ffm{1o}eQoI*`s3Ukhn zpj9~SaD=N~AH)^qmBJ5PMGH!hmraXg3;=X+@FESxB7iC;87vrK@#i=;dw8rR)&`xRA>xyzGyyV=!gP1FPN*ke}t*MpF2jq9lY|IT3 z4#!;}Jmb%+YOe5k0Pj|OX^iiD&2tN1&6_o&T%B{(vCo>|Mj;DpK6toVbquBQ@SLvw zg7){r#ti!ToLsqc7Q>hYHr=YJ`zk0%bxo?`f7 z*uZhHA0M8m{!vmMsRzAd$Kjt|dQ!M|o=1l#Cikn^GUW%Ra#^_b+cQ_uku_%4~_1+yr=v}X%1)eZ`t4f^+>*RZ4*;Or_U4K+IMadAxU zL<=_K?jpoEm~aa5DfnEIevy8}+XD*I<1NP~mAVYNl9^oQ71qnuzw>(^k;HDxGKCKD z0$Ekr41UFr{8EMzmJwB#^VCI)h{7nlN}Xqnxnwkkk-j1wh>Je2qd3j&mY&BN7kyz* zCo$j)Nw?7xIGbq&PwNz}eh>Y5eoHUO$Tk%Y8)^{Sm4TIr*!Vh=qhXZUtGR*Aa@Sk6%Dr4xp0xQ0A9XDLgg67V2JE*B6)B`?(@ zVAH(lx7Nnoyk)6VU#-}^V@orYxN@pwSl?P-GxM&A_ZfIO}jQdLf zYE#1xfSQnxiwYNO`7)jiLTB_hpdIH`K%$2B!l}w@1nug|qt7zIGoG#KJqH&$=h20f zNUD@EIy8?RYLh#;T=?K!38K%57Q-VrO{Ai1SaSydLU~yhgiM?#)*+lw02|LcIy#Sv zG+WHZE%Q;6a)N|(=$6&U&piD#zE#}Ojb#!qEUEc7DnyPW0`2tdMdA+PqjhCLbA4X^?9qU^w7nuJG zzABxknLB3M0{V;YK_0V?W2asR5+cnL|d0JZ(N6cSrd#6&>1a;a1B$wC!|JmT7X@Bx%V$ znde4_bIyZd=F=<=)of{HmMWdZ%%o#7FD=0=DCGpfIQ02*6p3^$M2?u)MU zcz}htMF^G)qvFF%QyT!QrJP16!K`vctx?6XnZ=v1o_6MI7Y^~$=Q~sbQFI`hi4kzN z*pW++9=_8KRcSOnELTkXgz}IHqo|>vX(EE0qDDqjRb0-bkz#rz> zi#)KCGkJjnvFa3MkP0xI(}~D+^({f+ouf5N#OO3ciX zfeXs=IBPP}5g&~ht#ePYj4~B(W>M0l9Yeu}q2Z-_k>b^@uR?UrbIlGh7M`az@d(IJ z<`a}yjX5Q=)`wSp&@+kP!9{io-kJ}rVLFH11Fje4#5Ry!amf}uF!QVd{w2dQgJR?Q zpMB-C&wb|hjT?9FFyw#dv!8wIt(#h$Eo+JK0HsYu6sKIPi;{5dHk~{e%Ny=Sh&JCN7dd-xX7e zqB>6kW*j7kNubn!c%U`9?v>3emwxNZU;Zdi{N0bA>)P(#t zm**@WIAv>AQ}v{uD#9ofrggc*kgYJ$iC%NDTBGZp+dOyax1N8#C~n-?N^q5>*?VxF z1U8j;t^^W65*WoP2^2O+pqW@&qi!WP%pbNdGYQLWG}!KCyUAT}k(+IrV`uxeQ^*O- zWBdb$WV2S+Rn|jM`ZU)MfB4?L>zhw~YNNS1Cf;sdy}o(j8#g}uU+bB%1D25v9_G`w zZg2`={!pQsN0hy#=>5VCaQE(OqwedEzCQS`JCneD>Qi=SF!g(r3Fz}B(Cne=Hu2Z| zqTQLj1UEGY%{<;fq4~x^vLQ9uFT8M40^z>)wQqh?_OrkD`tQlUeEHpXuWoK$_rLz* zpOarOth~L)sr7TpZE#6w-UU2`Q5AT zA_+u!kp#?zv*1^=o=E~LBSkm`*RH+&w&z)Hzer&8ycO73g{il}ocEqoFV=|kG1Zfh z_RdqEUp?xgE9C18<4W{E`c_cW52fiw7kpIjv}EZVET*DuaRh925}vx0t4!IXkvt`R zlzriPNp-jq3G|~rQFKg^(!D}GLo4R!#MrGoK;ty^iM`4!uD+_(LpI8BfmW<^$qlms zT6gS9ibMrvbdsGurT}f$%vREC9U*{hJ@j>2n|GQTuU9I8OE!f{7ciSLfQ1xbypTOe zE@s87rQirGtX@p5`eIixKS2m2!No;{kR`yhHfC)Y*k^y*vCFCqoi^9pBmG`cF2+se zEeV=s8M<;!Jl$F}Fg1><&keO>Ff>Vd7`iLwhriDeJwR~2tDLx|aw zVz!466A5+-wsH4qjYcIWFsYb4K?H`r>a{<}N_4f(S{32V0={BQkpLs|?y=WL44-sN znCZzdrv6+Br>1k+QNTm|tSpI!>PQAM=UpDkGz=8lC8W&Sl@!%<+8(h+o(Uj0%}~^j zQHQCFvsn>WfEBi*Jiu?gTYP0EpsQ5IGU zP4aWQcCO_1(~#%*AX}>xm~~uJssQGKEHr_d;^CF!AB{RxWc($&V@EDqGe?!Rc@`)c zTC#4SkmcM+%a$>mDI>n*es##MYeM*FU$(%u3PzVitf>v&1P}5kbBjD%SEK@~HEDn( z2IHqL>$*%{4HC%4VK*!3W(?|Z1JHJsA=6E|@H8XFVMr-NhFM&sC>uA4iWi+doTxzB z4Htg~ybHo}?y)hm)L|V8@Ky~qq0t56v%+FFsRNCMnDwaO5zZXqh*EPUhX(M3V8u4n z#cczHN{2 zbx=X7>FS1{Ma+u4<{M{R&huRBjw928OijBYFhk56mysE2otf)wy70u}S%P0&(v7i1 zbUijJOvjXD){Z>$w|Mf=LO9U|YQD==>r}(au-Vd+zVMm+;2RnfEuzl3k(vBn71X0~ zc<_uUoL1a6$#uqj(ZYMQ(KAy3(Te4rKqU>lrcpH49m-g|iOpCqVe^GApzG)-9kZv9U$OKv9g?sCCk_vPDujSOVGBib+2vi z{n(G)yt#32ym7a_!AGtwJJ2h;DhpdtOzz}X*nKo0`*xZ}JvP#gEQBD96=+Ogh$(x} zv_&&W^u`q{G56K4{^_48|2O%Plk~1DSMJt)&dVfFnGJl-mp~cU*u)@#cuE2diwrR@ zmO$w`_oF{*Zyo8(wy!nzc5u=4y75W-hUrW1h5o z9XXio^0wbei)~u^ty?eMl0-M9Up@xzezN|gf;Unm0gW|K2>17*+;q}m4e`=TH?^?V z^vPSd?((JTuYY}Wm7S|J`i}t$V<+vy>+fEF_tr~k5A4%QfZSR|yg6S2jY(}-9(%SnQVr1|jr!|QkNzVJel00sS}>n~}PfN_KCoBN;ql;QH_QwzIp^sm_Oi7}}-y>zM4j$n3*T3{7xzT7JzVptbD`}Ol?vm>d zkpMlMQ6AQTU%B$g#vO)u`}Uj97KJ2`_Wn2tl*~?oL1%87odly1sa&Vc8(e?r(bbmh znBbS=wqGkBKOig(Q<F1Ycu&wH@sJU3DjK~hJv4NV7*Wlj8smpYgo0lg zv&e=_{G&)0iY}SfivYaCWld!a;CU~qq3a5{VXc$Eb2s+CPIrM~a|N@!nNqa!Qt#KO z-mM^+ZjuK?=gl@<(1~b_&oiGi3J;R|Zy)A`44-%D1XP{41-n>4s z7~~a>{t`vlnQJHC*yHvCwhzpaLnRgDSLeJWKl-VYssNKy1~o&MD>ROpV{Sw!bf7#? zilIa$ExoIP_*e`=nYb)k3CEAR1?r5Vr1w!v36)=5>4Skf7FAAfh*Nu9)gb^0<*h4O zs#jKdh2BjBWMB|)TzDTyrWiv|msx0OVwMcat7Zjl5~Hl76BCEErxoZi1p`o%1r`F3 z+!U!6L{(%|Aw__CNp2Nm-mXH#R7|xFI(zgmq&q1_qfSJm^~BcM<|sPP88p@jBsCTP zG^kw0^F0Ept#T zx(>8SZ}IWF*u~5vd4GqZozV~8hUP7~qMH?=WU3BRWfBLAL9aJWR`$d5Q+u*+kUE4pJw zN@^3HkcEX4VZsDF<=43~W|i;(&L&bS&YsSiZF1X636r8V#6k%Zajwn3+)$p|IA=MB z1x`J+>|xqCUwgIw5hdIIj3NfJFe*=R1|9*6bthH{EJp86kKyDASRLy+u^PFUZ9B-a z&MB>9+ADW&(}CX$XJ6vl!W&nh1|3ldaM-7qWr_iZ+Bj6=u%Ci)$OxZvM^Z-(>mSu# zBg#?Dzo_$hg)b7ns?lL$jkdlYK4s*FaN2uOOHYNgEB7eobx0Iz<50t4sj`UUA%*Fx zqHU8xO$ub4rI!>ddmHOD>p@uodOX690Fa0*T6j)4SPR!;tvYJ*8xsbJ!#)Ke{KUa? zx%C&Qb!#77#)pyD9&DaD&WY>8d1hKT_9|mt1&y^? zBfv7)5FZ>4dkRJ`eCCZqDOFYBEXQ_LAI_}wlDJ9%E&&-~!>uY=Z7I-t-X%P>wGF2v zEj-5_!Vl1xRp*JrKp{^16hrv3=Rh0_@0fNK2U>tuX#-lb8^vrrj|HxZ6e%Y5B`6&G zR5)vQ)eaPLP}7(O3aEPyi30qI6ozn`;pl+X4DxrO>qc=sry;84U`J}0*>7gl6+SMG z775SN?mm1K>jTxVDaq^cxH)nbVjK!_XyWRCHs#mi!199CE(L`%AhSBq2>da_3@nuh zZCA~+?7($_mvGjFbz6sq`a{|0EzyN(cS!gI%B)s+UCs|m!u8P0!wgJr6i4D<6l-4` zv{0~~AWT^8TUZK*3O|BFw+k=Q)Py}X4leA&C@H}Jl4%zw}|oVf$E3;{&yaO5f~3agWM z3&Im3@Mg*=dHF^4rDqhUjEm|lP@MPl0V@V zUKnm_ByqYtm!MRP0sP=l>Jy4zbQ!}RWyG1F(o@HrmE|emuK1B|R^evYE>WyBjiy|v z@B%X~9X3FU7STKyd-)hpNv1{V6C#)7y&G3M)JD{|TTr_) z2C?AR@{=~9<%Qzqc^yw{U&k!+?vBTl@x%h~@hgyQRw`OHE9iK!>M1pXNFJhdE!Tnz zP@x{X5&}9p5&{?r&1$!D8cW_w^qQAKqpfL$S3W%my$XO9Z2{yE@S0v&mYqqgJST>^&SgvU*u^A_F7s?RT$lNLdd!+FB;DB2bmmB2wvI7}O0fnLNF znH}IQx(p~uBLt%!ec3V|$0;#>rLr@q;Z>KdICd}=o0UIeaV2h}A+z8yQPIX#n0VBc zM(rJFIPXTID*7d8sN=?*tFf;rfpktTINY9qurRf^Da!u-XVxzRKQa@64O+kDs6N~l zN|g3CZoTI^TCgN00V6+|K#+kp;=IlZDXAt@+}S!)x1BzUTV;9YI;PPm(I#KJGV2KG zRt&e4Dhq?IBM&?qr)zrJ5QF4E70#VmH61JL%cd;5vSo63I_5}DnwC%Tc4j5IMZOAa zM?sdWqs4eRs>$P;)xt-}9b=@})k~X~p49N$)w7sKGRNhP=F?CR4(;5Hj7n~U-hl>9 zWWh9;WyVot@Fb#dtc&RZ$MT>`x}(VojAqU`KsLl8()T~2DbS+HBLcvf$dOWvafGvz zmkpY;qd5*YXGDwz84#q4SsvUvYfC5UOt~vu!PP?y?wB-a);za-O~afx&3A}TzFMn6@dhaDlU>mVdlc21Wu3x^q)ePC7a za&^>k^63#pm`%E@?xwVYju^|8A_o;m2Q%!v!wj?x*=8)cD-nt~dbX*hENM68!GT0a zlxgR&5IStm168 zYF2@Jx{R!ECqaIzKu4X$vxpNdD#fn^qLPj4adp@_PT)bILCZK|UuF*qbwsJ-G8#o_`PZv(>9nlCbeC>2hXGgF0x%8KG@K`s2JEi>|6sT z+2C)>^+3iR4D@Dq*B-hrxH}w(b4OD~tb%>`@Hy6}euW|O7oWf5R<|EAg#U0G{q1YN z_G@XL>*2#Me>t7d;oRGAr!}>0`wPEtt-J4JE6R<}-1y8kYVUaEMb2=2c!%T|-RIog zeF=0_~Mn~?M}A**KS|CEqfncd9mv>OC{WJ zZti~g55X;AHmupzG?R+^y5p#vD+QJEtM2-hYaHf#P3wvW$*#Qd#v5AnPdYca8-63^)wt%Ja{YGINbdf-6@pee2q_pZOU{aQ#tNNCI}dPFR6^ z-Ax{TXFs;w&!ttlH#lbI&ZlpG`nH|Kqmy~QR(#;fs6Ux!-`^DDE3WBA?(&$sxShW2 zuewjt-Fn&oNa~giYizY^!MT5~CnoUE+!jBa@gp?A*ydID-*X4Mm+W!%?f>*ldF}0M zZ&M~GX8S&T4I z0w!2#oTnrxKD>R(?Z+P64_Q-tg&%$-=>Dwxvms=#cN7;%@B+(JyY9_5p1tBY?@F`I z?Zel$?dI;?H?FiVyu*1==fhuo;6G%W{`@TNs(udrH0@7*^C!QFh^J1W-7veSnLlPl znZ1?-ny8iA1{G!AC}_|rQ2S8?R4t$`v?BPMG|P%`r$*#;`zCPKIp7e zq!GPC-V^F0S_rQd!VNrcij4Dia^9OMp6~k}4UII>gTcKVmoQU&{EoFV#d4f{)=a?( z7FD zqCNHwOn%XoF8bx7A1sgr-Hu4P^j7~Ko~TsbR4);rd(l?8K} zCp%xo2v~5nks4M_m&?ThS}jh?5-k-I0!ict zejXo+NS{%~08*OR^zzZ*gCQ}&2cCcc$sz&JShWRQp~k3!XG<;)#O!Yvzh#G%UO_yh zC0Lf+G9$mIhq;l~GMjT^?ePs=Movp>0HUNLueH&EPF&b_dRaKyzr18H|7 zb4RgsVK!PT2c%riVor?~WSU!O)_Do3k*q+<>@=U3T@5dmUG_k;%i5HO0X5i?vSbcF zlY)E}s7*oUf|-m&z$$}Po~k2&A2UsaIwK@IO$(|cJ0x0LE{0aFH@jP;m$sDiRIhL} zkO*Bz8!3w}VYa3vk}=_EfKLcS?Y;8(khRP5AkgyiBev@x5yKQ~H39~>SUWp_rp!k! zx*}3{^%hU{?m&9}Nw7O}W^XTIb9?Gg4Cy-~E$ z=7DDs5#Suig^M{dp9}eMMdGg1j%Sz`MO6g`Xhv0`fp0gdj>@h$a`FWRipshzI=*#8 zNo5+g+49V36L~j0Y_{mFqsx5jny~fn99f;*i5KQE^`M&UNwPp`BMN@66thCM1wMGj zy|iP5>zU+xfOR=JlPNq+7J7J{2M>Cr?21d2f(K^q`3HP&qjbFUPEX_b48^AVv$Oig z5H5YOd(@;;EeGUN+uB7Y;?0%xWe?L-4AHi-46Z$10>@gy?_L6y`zDR#iZdNc+L~4i zejFGC;84{c`}k;ACIf!3Zvr3w@SATA{-?KfQtO+~zWMCtIw#^@y?OK2tw&eB`lDa{ zQLPqCYi9>ul3+xmJ|DEI_QN0M3pDQ;bW-bv#l-hn3CYJkSjYM3%AZdEbh@YECY~=l z`|^w9doJz+yJYrt=MLR@7qWG|%*`mt6_W-(dinAP$VrR8$EP*9qZP z_o(Y@>EwRjZCd9#ZoQPsQs0u1E`96rty?1GyjQ!UHm|*VPyQPpzh-rQ6Q%p>fPKek zlXj&lTp+ycYj0CzPYt*FTUy_ekuH7fvUXVNq~3?d#x80>>F>$FE+XtWPg7oc=lN& z=)T^Gqa+xOZd~&};eSGSrKmRFG{5yS5CL*~vpvskxwxW>r4&FQ_3VeFCz+3QBTq8Fay9Z)xy1(s>^Tzx zlO#_z>QLc;lAwKT+0M=-%jTRv|AQWNDH z!k3ih;5LHZ(8-JR;Eht3Oo#-H#Vsw%3qzGrR%4O&+f<6v5tc>)q_q4`p)YWh;yFAG< z@Fmy|%%D=pnS0c|nnm7imAL>0T!f+Gruc?4qZ+EhG61UMV!DgjPpQ57rTS)7g6;t3 zNr6#cA$S&?3D-GNtQv^9tAj@}5p^<%pMYoTQ0P78RH-Blr4O@s-nnSME%KD3c2-Hy zh!VMZMxyW@Yh{x}=*(K<(!fG)Qkmy5M%mG{1D`x;ou?t>49ysqe7!v8Id_zIv?q@B z&e!azX2w^nWT1S~{+1!mnn^KYxJSEc^B9=Ko^c}+w(^A*jXV*K$aKLKqqUsq>R69x z$w%wi6fJ$_Eu6r0y=-U_Ih7IM+JW*;Gxr6lH32e*Dj)Oc3FipxSVgu#LiJcsINKvB z1vLZ~no$bGqP5Q_v7zeGJoOz^CC3acTQc>W(J+_dp~y!*_aX(G6Utq)WX@eBv&eLd zO>OxNwR0gTRW8qBSJnvxr`z!ej2a?$l=d#@hO`2$VZ79c{aQ7oDP1o-bGoV#&N!eQ z1n%X9jy`5AUQ~$jxa1|5L}1;opN7@A%!A9lO&}AsB>>K?E7mB{j5+wc)Aw-m6^jPQ z8pWQiIKlvZy5lKS%#ZWKNyE{!^LoknnkQsDsluo|IZO!G9S|AtlGUjKn~04|Ptaa# zpSXFwI$RS9ETTJNsWsI=RdD(}nPi3H{q^c!4%eDFIM{tvIZ+04`WQb&)PnRAV20%rweIN&#eg_^5IyCl+`2VE$ zZ}B2J4~BSK4k^Z~^4E$Wzuqje1=w3W3v$eV>BhCq!*6}-^cP}Ngr_%O`L$nqkxg=U zKC`*Kovl;s@8Fld|H>BMH#%KcLZDwEasOFTg$=@leCQ zD*GbAhVcZ#^?e*-w%R$HIQ)GZH|Xcqx3l%mYnX3roIj9e@ih{0^NpMLIm^g2`$v=n zgEaqEl7Et|wFCYlDbB}lZnA|L(0AB5^Nq_y>g8&FTH;Cb({5887S|t z(Pv}63kimC13pLn=-S(9f2)!cv#XoS?{1Us9dAD@T-(0(+Ot=m9VGbB@BGVq_h>%X zz5n%JKTZE+|1fT#?@{kdV6*)X&q`1^ANjGRgJ0=mN}Y&8EAJEzsLt zKUa8~Yio4Q==I#^iHke%o`-|@V=0y_Ug0BF&Xrk0Nh=T%v+{M16zyqf>7ebQVliyG-P-QZ5gsPx{gE((N!51X~ zve>fPy`8kZ^lGbR)p+BaOw$DMQ%LT~-Xan42DN`qxq$xac74(AON_9NUBU<3jK!9DDYzqfI(YW=5 z9OKhN=d@i`_myQr`w#Rzm~G1GwpL4`!35vOdP<9A-K2AiBYnYC)qy{7m3<00`DoR& zV-I7AfYIZR&XeZ|;dIKT0~9R=7_bAV_YTtFj(9UsX2ch7nNryB)~sbl&(%r6jH`77 z@sN&yY#vrJW>}&HFxP`}Xox2p9uifvwDbcQ=kW5VkK~Z81CfvfEe}fRbO74>Di13S zduCQx)W8yn^(clF-)a`a_X_Z1q@-)}tQ?cu5U6L)xl)}k`-^kNvd;MG+-coeI*Tw< z0{}QS3CfO$>_nL-IwO9v;UUS~QrbJaM5$Pq12w24%b{B+nVi)MZCEHs)nJ8`L&cT^ zlxS`Udl^xH`W;52dk@BxoW`2Ick79zHh^xtipOrmcfdJlk?%>(Rt2(^QO`i2<_pX| z7LG65K^<5;Z)rQ{W8-2J$_W+6u%Z>(?`fW%esjMj~NQnWLl5YKKZ-aU8BNpKPg!Q~~VJGNy@WJ~8 z`&BrJM7CnSYFfP^%&)MXqk80Awvya>=YM%hk3_R8e*Nyzmh4 z%+_yiZEo$wJ|jW77bvGBc=OG4-q5L_r||039e2MuS%IAEGeqr^PpV0ucb)V!gv(at zNxy#m3wAEne$Z36Z?HoB(UnhqYM=BQ5AT^?CENuPd~%;&?t|ZMTLkznX8mj~a`8`v@2{Kb#GJ{n2WRoU_Zi}U?;{rHb3eplQ(@4WMeAN)%eR-6Kr0SfFr zo?`J-(D%Xnt1r}lFLT~Fz4Ok;M^_$wfba93gq#2N=7)YKNpLdY`{H}~h5A=kw9EF0duJe-9tcgkV=cPLNWDF| z_G0;gv_x@H0YpuTT8g7S$a%jP!5VdoxrY`4ZOeh3%Ylt9|B2Bs6y< zzE+2w?sqNIdFSTi1~WlM>5V5O521li*0{GhCDMDh?M!)JTfk^RMtD;uNmT;?D-pfe zROU-Yh0M#cF6q4}m-JjJ%3aSQ)L&Qd^;2?RmOY2kq^OKT4V9LYv#)fi7>NxG7w;Jx$FMT_g*0Xr91(YMmZUf%1>2`)2OC;bu{ zqZ{(u+aY6yUEmiEHAOrphFIe9v_^{+8{8g_-dk5B6>^y?;uu*=IqZ{1;y~53u4$PH zTJ+Hog78EoExV&%BLRfbAV?bzVhgp-GZQ75GqaxuHNTYs*r=dAsOch!*SIz0v}#c! z-2uY^bw(kFafvye()*;nEQ>(7wGJSs#8Wjy`rd`t6mEi)uimp~#XUEbhp)5JNL8Xh zFTqv&o}I(T3v#q@!9!QJ<)R`Cy;^eYgK-K2W?_ydmXz48B>J*Lhp zfMZ!Z6*pNYYoVR6P=ao20x{~l@j^rydl2OoO#&T?*SWHz<@(f0yj$el0FGx-z#s{q z^47>Gw zAfwnCYjc>^Z(dO!qFb{kcU2shtE|>iXKz&EdJ>qp4X~tHtvn^u zLoDMdYXqz*C?y^il~YVV^9P=|Zf1=_-)!a}sd%)G702^VW;M2&%^A=;%aGA5;^3Dv z@<74d`GsVpk4840m8>hqr>lo?loDkJS`qyaN<>*j7`Wmn#>1H!r_S6v1JF=GT!84M z3X+Tv!Tbqpekh^~gk)COI1B=2$S4psdZuW3G-${PPrXtj$civ!ReC16lIs)%T5Xec z#HZnFVK`O7RQC#|JO4QOxmCaqH`k8Y;uKGRkR1katJQM7%6Ty7#ai*^qB|kHAxLt} zKmZAPlJDrNGBcjbAMg_sTYhY({q0i@OlF>#@&HASUCs-D687v0XW75k>-~79LNDU7 zU9$loj^fdghqfZdSqU`g5hK+A!}OP*3hdk{@_{Yl;Ex2!ML(z1t>}4lY{6P(wP5vv zD{8Q$%J}PSPR0-8dUV8t_h{w|p3|I*c~%0Lx@e6$2JyrfqU>4k$L{?dXk{BFS!V8? z;WKpsQVum#%RvzVYdJm8C)k^#%=w=9bWF0!s8H5Ef2ZdCB~>Ri_ET$a2f6rbD{X|e#{;M00 z0`tW?M(k-PPlT%v$>+6e*R+5A((T)~uW|&f{9j;VZ}4lM`_q6VkoKfGY@DyU?@9ut zv@e1D`}^7D|Ng+w>8-b@e&hSYEpgezjr%A6r2O+>C$d+_i++$UbXVK(FMj)?!aq1{_vQ1mLhY}CnPxOzXWWx^nRs0I`w7g)ZlHs zyXL9?kY|#6+23HdIjg4JPjxkCe^i%$#OTh5pjAh@b&Fj4)oonhgICf%_GH^WcJRGz zTKYM&yPx~npZ(c^x#v!Bx7?5F8%N)G_8Z?&Z9H8u3>*gg-FMH`l%)qel5*m$)s^8{=hen~ot<^2WrJwqZ z-c?`)iyliK!OQPCM=Q~J7O&z5CrpPuz_H#JKGIW)QhO4#oqEB(_qnKd)z+8z3}GT1 zFri1**!xd#d+qjNdzQ!&c(ayCC+l&sx1Ex=%b0Fe$89iIC1hTu<1pBRht0cs-EXWBNmxh*Ul)Vqu2^!y+ zV`*tpfSL2MuajV7WZ?~^xm1Ahh|@etq!l(8@ia)NKi z$8seoV99UUd#4#a7}r@M?O@L*e~P-I#SN+s&2SGq?m!Vr zh`tYpUr;4s8d7KsAp$x^WEfa;>9{rXmXr_c+9P#OXNE zt#8K~S_rsR62wRi52au6OG1LktuzSrjhC;J!KZx@&HFxz-HT)IV>V~0=mMdg=i;z2 zQqI&klr!=^W`Q#<(X+f0mw`SClz^F1QY8k!N%E9RE~lNO=QNS6>1=wSLZJj`4mJ^s zNHQPIxsxM>Rgwo}40($i%`OL%kB__t7TPxFwZpT4SO#sOk2s@YLYWG>Qv%8bMhmXX zNe8W~iO#ds%cDHk1o7{SZ7CNdt(U}JRyjDVi5n2ts1-Ac=cyAUGBg9XUFGP*nwI@YY3z;>x1tWz`;W(s*={%8Pw8vkJS*;@TaDQKXFo zcd#EkxFe2q4K5HnIG&a8zshpkVrqTZuQiKmD>==K<-J7YS|%H;v$DuGrr$%>X++h=Xoj?u_j(=$C} z^L`eNTPh(78Es_?Wv21cj*mLGt~~B)ms=feUx$zqqIRh^*2$#!vDLOR0E|`Lcs60D z(J`kwb3-3<#wLefaqu~V%u7y~n}h8ZUAqp!FIizOMq7X*{AeM3U;WT@S}(SzfM*cm zcb!Q;4jq}LnD@4q+ZzArI%-qM;gU`IllgMa=x#n6RR;_0k{cZq?ZQQsU=#P)hZv}a zT5X(nn=8dxH)0QidQLROmFeW_gJmU>XwT;w$&M5nkK2k{dv-LMSBD%eFsG?&3qoYt zgW!D_C2j{te4~&)5%*kRg!0tg0!K?8yNvs>ckVIs3?a*0gY*k-o%)y-!4`*?)%$}3%OF|C*Ex{?r{cm*t-wS-vMp`rddDO=>U1dFI9&PS# zy6zsIS~?X4FCU7zrFPCD}yy~xvvZ07#} zv2OdTAl>vf`nK%K{RnHqM>OD{cK@PeJ{$ZeUAE&-%>M=o-etikM>V_7rG@wKA@}1X z^mn8)W1H@b-K5a{wH3@ok49;D=jJ=l{-;f|foS7iyZ8B?m@%zvv!C*igxS2%eJWXY z_+Nh(I1zl2=ad9nvWL9{w8Wo$f#$%=P)j-_(fNSFjRYy|lb^irp8c_>lwgnD-Ot|r zEUmyWtb4ytS@X^Do0}Uq?$)LIzwX~CHtf^it%u)>+B_XoLp6h5Sw#CHL z*gUSlqg7h6})zF~{iv~=QFbY#>GQ~+hFwDv&SO3sX@%wOiQL`6= zI(`ZKX`--?*o9X6=*POz#~vI06oJv{cNP-vqEL4xj_+Aeq}%r>TjjWmRjfl1B%)N% zeFVbjX(%5)Vf31Wi|P_kFXUuwVFkfV8KPDXiFYrNhvL%-euA2QQVckjHen~1AVtEV ziHKO1%q5(LfK;7}9$75418Xg!;<*NB?V&-C+4m_7!NW{A9{tvV8)rG}Sxk#h+izWq zq7>+es~cV-aOOhHln`RIqZAL1oo79oLP;Mh<71!3Fcn8_;WF&eB1;PZ5mfn3d8Y@0 zyTN3R08@7BN^n7jO3MXL1^5P+Dne$kOLR$(7OvsyqIl^|-5DR@8ZTT57t6-FA~?8P^S(q^elZ z^(z&}6n3jTo2__GO^j<)lH+aqO;yL?@>+ zcEGoEmdZu0)MzhWu_c_^1{A)lI&9()U$&;(v)C|FVzsXCC!0`>eITu*MJtPzD6J8v z8>Oqo0_1ZpvMbbb4b8R$afXFL2Pkp)!6SP)c)XWO;d{_o$#s(soVnNBS?eallkuI^ zF2mn;WEQ{nU1*#sThIIOqLaVe4`=2xV{~nrD7rc^?N}1oWm%yTBF6E+p{v#s;JD+a zAcZ;Zdd;BDx~pqGe{|5zW8T!{Jv#j5Je~?)am*RvF@@&}N13X9IG9e2Lwitkql4Oc zD8hj+=Ma!WDAscepDzoh?^8Hm^Qqy$pa5S|f~;;CikQ>~>vqkDeZV8xI);FZA%O0hY!tA$ zP_PTpyXhktq*6^ zk0i`=)45_lydj)%>izJF8*5!^ROcvU`Pz$O?AHw(icy#|@Y049&glZ>I$vj9s1t`E zx$NdAHNMLvQ#M~)dhpDif4~p+`QgO8)bueICplamVh-UKaY%H3JI!DJr;p3`zyDWH z3U}^F6i*6&`Ts2j5q|1H?@AgIp6*wE<4NJp-TtF3hikXbaQN({{qR1x*U6N^KfaW3 z-jz5!zbbMDgG}>z3tq$nSH?1OcZiG|S+s{-yaegnF3nA-aS??=yd1oJ^r95EGGazN zZef0pE5|jwhjAFRWz1TJ#d~kEN^aN0zEYHEEK9MqyH?((01@dD@MKlWQ6ip(5_HT6 z>R~LuNZ%vWi>HmCp1*UUCvV)^ky|Tmlau#^a$laqPVod!os>~0uIAkLXLwdzOP5hl z`VEYyHNHAU6E>BGXa(EE2N@&%OQdc2si@U2Z&Q(rya%&Jp#G^slxHByNHCO_e667@<4~%HTOzST{Ua3srk-~_f;=8f zzKlqV3+XJ2qDwy$*N8P5nIJgsdLZf-DN8)J zR@~DmEe%H}Xt8hyWyf=E6P8OtnpWf&yM2j8BZncGZcX){h2N<5Y+AUn(|aqS^UJ!@MpuaMIY zNtPXF0W-<8v<&wlq7Y<|=^u!v4zVFImb^gn>7tc?%5~Ubtd`p28tKsrL{N9BA*R9TB897VZ$~=y;?0 zN>qfLfOP*bES0;UVW%GS4qPC1&%0ZcY&mD4tiMzeZ|7R{mWFySI8 z%or&~iki*Y!RSP@-x93t7$vj{Ou$|c>U&LM8o#3MBKQRZ1h_?}{3mQmVq)GyTfMOZs`Vk7zQy_vubHEt6c!=y%%9YZBILXgm=6K ztoi)OkJxC8lYPnU=Iku)^3~m`RnFZu+%wG8y}G4))wu_T-WgjzlqX={zl*0Ci;I`c zeg@e5!EQe4Dr*#9dF4B|H=Apm1^wkOo=D(?N9#K8|KHSl57)0;=X7J{1^z7U-F^PK zfA{JOFR&NecGzAl0n2WiaVA)0h1R zHP0BCUFn~lq_F6lj zv@TV(^tl^%ndavAkh|x;lT_M_&6k>98?b+_rP@ev z>na~p;`fn{>}C+3z3JP5Zf8GEg6scnKS}q0vShu7N00V*8Z#gL)A#TD%~wft2OHMr z$|p(o0ntujER=({-peZ9(_C&c8_o*p{e+mLK3{@&H|Op~mIQzN^y`QV>e=(#2X&{@ ze4@hArEux#396_#_IjmPBW-+u-Tfh4iUB3=)GWR65O=*HMBy{NpCayyL0pHPZ=!0w z*~E3aoR@JLB;+1MxmzwJE|=^i9Nw)6QJxDRUV#7ppp{L*V9VXg*n|DBVEA?~^m}l6 zb^G{b<*{rl)*Wlrp7b$*8t)68Q69Myr23^Mh|A8XEwo~G2DC3Rfyd>|8TAa~=lNl$ zcw*byB2uFnQgJRINa5PVytTlUnGC!nJDJ-U?G~F{Qm)O#nYZn-9kM#~x;}X$(W5oeUGO=s6KSf% zc3{PO0<#Od&^6F|C}I{zp7Mxtn~5Vq-wuS0JSqSmsV(_hXV6>8^E9UB3y%XF5TUEO z;(%^rS(fbqePs^=Zzhft;0+JWc)2XSi@qqUYM|IUdc=Nh2xZ{|vULbgHsDxx(%8Dm zvcZFPtjm6`Z;{vyh?V6!!)L9*m160~<;qIL&|8DBJ<$APz^`iuO>$Onk!W6*Ed`Wh z7lBWNDLBfqfv4pE-psC#-aZ|h4Be5tcG|T~w(9mW%s4Q8}rOd)z}SWr|h80BaK7aLf&$d zw8eU#MCm_40+A~*OEA+>=j$LGsi(rPo$p;(CmHS;u zX@|CIR1_zBL)wPXVJ{z`z21V*+veFB^rcd22jI}!$DJnwVNU`bDbB%KdzfA9b6k@q zKMW+wY;hkt*yj)%Y6#bjw6LlmYBpd&O3y!uImt@zxjcl z`=^2)bs)`}9jm6}K8B42NrH#(ULF0>^*{Q`lW6zDl|~QNEj^juW>dP& z=i=uElE>NYfBrB2QYSdOdz*WYqdOheOVB^lq*Cuh>PsX(odjR~2fsYB`axnSo}oWHB(p8C0r6LE$M=}x=^PBv2mD|U_?>NS6Ypt?(`9fv+y>ot zY@Wu|?h!S6?(?04VCRQ^AFyY0bc$&_<-frDwEY@&Pv3_hLIXAf0Jp7 zn56el$b=~vPoQ~^!Tqg}^>uNw=eNh}N#T2>?>pZwf%i+`{Sr7;0{sgroI=0v{p(r+ zJo2Mt8|;hZd{X#D@t%aY1{3-*HtdUFpt~sid%_Q0#lgOa*^|Q0#5*}rdnPy`G%oSC z9WE%E_kvch=E9!MdtvlF(Z1In`uCLB-{#0uGOz1m@D~SdL%OZ&Z?EGqWuO9noc5@& z$A#N6^)yv-QTh6d0uui5+#etJZ$_w3V)BCh0mEO%q8yQDT|20D&L65P{7_T>t4zGv zOYFoG^#}4UJ6YS?r2FQ7LFkt3eaBxx2@I9+RK3^luROz3@I*WO{wDE0xA#ln{StV; z1l})!zgZ04`>BNR7gL2k$n3(q^xLPG)*!6bf_ts> z-To4lXHBHXX$$2O>a3ZaMV*g{F8%gHBOYP*&C^u7P{{Tn?t7lnZHkf>52s(nOmND8 zOyO*xiFP;)I1Rf&yiliMU+sENJ!%$xJAUPqQfD8zRxvsc_tMTyZ81uVOt7*_hT7$rV^NPjTapBi?0WjL8GdSO=Q6W*SQdE8F@EK zwGNlv2#&RbAs@0!uH3g~draNy`jL>1HyZ~|CN6{a>U$Ts1T1yt$TKgGu5%!sz(sO* zF(@o%T(a$lBLO#J9DZP8f2BZ$;nH;$#Hbq3Y&BVUYsuY!l5OF;vS?J|RJmw8KOnXk zYn`&khcT@+i0nHzCE5fD2)e5l{Z;$#+7s}=US^jTyh z5zmQiG;qp_K#VYWxAyXA)##D3g>38L7CQ&l9RwV^=V0GQCD0H?Ts3HiHp0F#SBMlD8gLq->0H)d$k$~+g zi=42IEldHrbthZf-t$Jn40JuYRSTcDj=T1uohI6qz^UgD={f_EXg>NHi)u*EIhNMw zBZR7tcz{6o`H(37H4YI*CkOE`Pr@N_^hBg~jYthqb$KChEPV$n4&9p-buVIoy3QxW zEMnvX1{TiQr?fnsIuK*To*@?Y8Q^1^I% zJ}M!UVi6=uM{@`qQi{##FCb1q+Mm!E_8H7qoqKSKGwwxUu?-%`9Aa*FKAhlm zTLc5W$WOoSbx{Mv)z9=c(B>;&|%5enF42l^9XeA`Iz(4 z^~hCjegK^$ZzsXi&L2He`Sd_xoLlNc_@2GFdF$jphtt9VC#MI$B0UFDOCMSoAW`sc#-+ z`XrBB4!`FmanhX9_qZ71#X%Mloqqc;V0QSFi$s+|Pn&+9!Q4A1Ji+U(N zrLm#_Wv==J^+KlzgExC;=ukdE%4U!E*xTDX#k3HWypcOT#Idq%Z&r}*1Crk8L& zgtO@EEJ_$p57MR5NEE5la{)CGPGs(Uo?!Pfx}`ZUW(Bf@SY4Ka%eksg!EfW8by%;) zxkyM%2ivu0h?Ij7yf|mG4=X8N9RsN&f+ucOj$G?I-}u&#@-pX3EZlbE@!WanOX&JC z*uNPQr&ebXaON1Lr)%o#0dL*Nbe^JksDCWVn8JHoO^ZY+_BItg#or=lbkUO3N!_zH zQW`1`gKBUbxgut9x#;~PeM>H-l%50wU5^XN4>{F3oSHW@)6QzC1MlF$N9w%u_(sr; z0@#iZg%3?0K7E>e(I$|lCsq%VIu4#a`z-D(CXpMSq?OGIUOPIqi!(6v%SBix^3rlR zP@dQNhA`P_q)tcbDfjx~djK2MF5)E4rO>;wc8Am1+I6;ZTCo7`l|2|!ZUG#nv3Gi) z2#jvT_roe$^$zy)QVTsMp=Im|lr4=f@FRIg#+;9i(F3_o0jDN$So>GgKm>5a zOHL34DGpzoYktPyFc!ZJX*SErmO1tQBOTxRoz|HRb3UMM+RM7%@kyG zRgetfZlqryk7GxDyB#ngr0xeRISG@FdgaMac$Wl1j4?pfKHnw%rvm>t&~rn)j-6xC zcR;JK)+z-Zs&?(MC8^Gw$ys$#NGl4-*Vp9~0r(`7&xMBXxF@jW$85@Ce)Q&X;183A zb=85J1-rV}l#>;!e3`NX11Ph+HhP$OOOiTdlrX~t?j%UC=HxUa$#O8moZ7MYq~xZ# z=-^vGu8?tcETKn^3$Hyfk;IiRVVs82;G!N!?y2}SwEz)1+FHNSaM(`0_-!a0m#jg$ zGYq)w&H+?4#>K`=dgFHWfvY~a$gPhsy>!(E{Jz(g^$8b$sR5x)xL4C@DLKe|x)#Ys zPcNJrkWK3Vzor&&E#jxPn%0~QhG)~9I#6f1B=EgTVHciT?ceo?yJ7sMPrf6&qPL+( zNL3*GIhWQeAoxpspJp^3^rB;OlJb+U%;o@*oQvthyd4MGTTPOA8u&-2zs;OR~uV zlZUbe-%;$lkErW)AGpHqQ)m0?Ms(<@kOjoK?jG%SyDk0^XbOJ>y3X~0UL7k2n9Tc0 zSaEFE?X8sdp+BevDXLP@Pv3C279=ez3$$>fED%UW7Nl5Mu?s&yRaH-z!`)i$Ahspf z?x9T{Z0(x8#J||t|J?qk>dwoZecNUG=fU3&J_g^jox;rDQv6Lt*=EkN;rjK~f6%`4 z8)eq61#gt*2h~HpwH|QOw^oikK#%xAwLgg5{VYfwwpNoTP&df2(<*yBiw#eAJq5j- z&cs3!FvGduCLc0!Cfu*b77|~*>caGJ&!(a)?t{Rf5gOfB*q(!%QrRXdtXPs{(}fU? z<3l4LE2PYJ&5AA`u%%U@4#I`d>kT3;0lyUJw$h0tjlsEyCoRgTR-1h5MR|4;jS5fF z;gxL};XwC?7EkOq*{IAUMo`QYCJ_6RfJDET6lgD`5gv_qQVTed0f#s?3)>V&kah5M zM^LL3nH{#hvz9Rr(36#NC8>3aTWH7U)T)42v=Zu~S_*l;?p18}Wib_tmdBu76RFj* z^ocJW4dn9kfMZ)LrQ!f1`3x^vMF0rqt{hXcMXVCLn<+muCU`z*zdTp5C^hNOw4UkZr*yKDNnO^Qa&r$?960|fThh* z2SI~z0@a7ic?+N8sFstu{nua8kaHR{5ma*QVpfTg(cTiRm8%Ms%KIt(+yoOh>xwe) zEc)J^5YjPL+*IQ7O~aZmnh}*GYE8Pf)D*koP*yjvmU+sBcAS%r|t4)vV5ZEpfd^ zK{r}k6OwP@!wKA)oTIx*&Lkz`2s%Vm4wy^L^C<)s@I7ydWJ1~uSC~b>+fy-g&GA_8 z!ql^ZIKy|2eb|;cig`LkU{0;lW>nO8?Gz^KdNsJGm>CRxwfj3hUi!PDSQtfxp}YUV`G-d0+*HYyT!a_ zoc_qsuK};KmT#pp&9dHnFo!?WHZ4wtp{R`pVw7yrW*SZ#4U%a2q)@U6+b^eOK@X>=gF6S^YZp8cXs2 zV7m9)$LoIlZ~jhUH-1x>q`^5miT%ta26un^UK01;Ys@?E)Z4Hdhb7eY!FP)Rmr`m& z@f;Dv0!If|A}e+(UZr@QU{pe2^j3(eklrB`9O;*|i&n!GKmA0B{G1))2rAm)<1B^O zTpzgyaTxf_MKM{gRBXX*Ne5+nC`+Y#;hX4pN9>z|I-+;Z71^$4z>} ziq4Kg4-9I}7p{m(-MSOt{m2}em{jnR*Sk1T`W)--${hLu#zj|O#v|v=;*K-h6ic{X z7;E8J(&JE zv8<5fB<6$jb~fUqoK^gkE4@lBC54aO4A;O|Nj z5EvDn>@SZxoPDf~F~%sgbPMZ0iDbZn(aJfZB-~tYd8dS=SRkEP+jm|1crr~)ryZ?r zAE9o{gh!5%jB|zY3no1mr>34Ns}!{DsicrK3F$$DZLFj_O$*Ud;F^F3zv_*lFike3 zgqa`bx}K^>f=T77 zv$`s}^{sKJs@m1JCewVHls3Xjh8@FhalOjWXn0idMschr>Aip|O@vOlC`3j?{4kvQ zdJs9C6-dnzA~J~g5RnFE>*}1K+C^Y_t^B508S{S7w&@fGOkL_6O!CH{IU7zHLz`yPnF?++8@m(Fj=~o}oly)rid&5K&8OK! z?`N2~Y*|AInHfBgA*4CN+zDkh8-2UkEvvJW3_b!vrh~wkoz;R9H|tO!^cqeWyc-dx z0go?z4OEjcy6Z8oH=)+n*8y!1#4toe^W3TX{5C9#H&modtpbHrMI~A^_Oq{`NiVy(|b=Z{_0K6?dKNn+W++8(@Tl-0`Goz2nV%0sH%6qQ~br* zi}kMDt_6QN?pko@e!$=S^Ui6W-`79!r&05hX9M@X#rt*@u2F`=-~R2r&e`2=_iz7g zk*^+{JHoXV<4p;Y1vdVKZ{ttVq3Mu;9|-(o#q}fH5cZyGHN*4(%A$ z?i}Vc1D83w-SW}5*$!fj@1A0)5_xVEZ)>ipr?`VOm$7MWG%i#4u^A~aN0*(!T=sFg zdDr$Ozg%a%5&UfTv!7kYSqnVz#DWF6U%35&4`|x)`q#0I(QK66{a&fnbs zwRQgOU;VXTb?(_`%ku2(LFN-PpZD-Zv-Hl3U*56!+BGb=;Kp*`{*GDTZts!e8tm=w zVZl#*7z^C9YxIkQ2Y1*n0N6XA4kt*n$kdXoA*f$bo2&Mv9^n;-bHQ9Wo^b6(xV{%e z?|5X=c%=BX#qxyo?DcR@;7TXA&xWc{o=c)l-B{+tO?RTF_X_NxXsC4594m^Emk#Qe zAX(25u^~Nn)#hBmv0FY7SH$&X*BU`RP8jWtNr$OUQf|=U*Pk?)3rV8(EurOTU@U8?UemUgr%O3Z_*sk-7acsCG-U)C zpDflH_w!L!;%mQDGLJ5y@dixknU74qtF}d^9d+9C)M%rAX?x{)TRbPudo^Ql?3nQl zPXdx3W}``-37v$t!U;2*Jpv>m@QOMJra)~dx{HBIFq##GuSQr90w2iWaZas88sIi4 z7+|nZBU^3MVSZz|uIDFZ$Y}{yjvwzd3Oa_UrUyhKPX9?!7c#(%hy(f!wFD*j~QFeFlWfiKXw2Mo%jWb;@ zk=~a2b49IKY7CVMG*OAE3dW`9nsm%Ou5SiNh^wZ)GaqjWbh91j8aPFPxxIYm&GLQ? zX~G1y$%Hnv=WRo8d`cFFao+15j|$B%1o~zl$Sj>^U_+u81K@5?* ztnfZr&CO9MDh?UeV{)_n!2qA}rYRZe!jbbHpU0@pw52$M_@P6^6Mamf;M2h-BgCOI zmMEHFAP)Fceo9Msw45PR&JoDSNR;r7K*cc_WHmm$cWRX?oyCT4N*Zf870P2 zB09_oa`nM$kqBO7)dJ0&ox*cyyCwhD>6n)cd46&_>`r)G#-Tfw92JxIkp1DU(_WWc z)fo(P&Ksb%l) ztNd&R?e*)L@2c6hxB0xXo$sm>(u`ZpsBQV0<7zW(p&8HIPG^7r(QcxU0g^CW8d~@; zu)lwO`Q_w`d(S?5_3Bl6)yYVuU^^xep|zl$aVx*&ug|hwS)eaDTMKmBN>{jkEj;_- z^23K47L+A9aM1a&W+rVrqxR*_+ihm;%bosS#*Et5Z)e!Hc;j=IUvbi$+*Xs#;T_k@ z*}iSByV7K`6G6aV(@+Uix}T%c%& z{NjtBzkK`b{yp6f(;wB0)@RFS=@nl2z1D(vyyGfQh~GIApIx%9DuIWyU~dl#-0fBI z?AVwHI>(Q``q7VGeMjdVZt>BN>K%{e!PQPj_~IXa>CUrXeb)M`4?pL2v8aCSf`pf3 zo#RKZVS%Nn8$hz)9hk%we|R`}pSw~q#~yfi3!Gb7Frim)JkTg#ms{izzi?`yd*=rn z8^00Qq@n1pX?MwC2IUqk;G%+V3YZVH-QK7qsxJlwm>yLjsC`rrS^`OD{E`7n z>VpOp@3qEl(NlpAUsEMpdg zX>8lBW38AY(>~pl(U-NHtlNk@oRyQ zcfzx%nl|F#l&N=uvW`5#y$_yZ91XoM2(@;4lj-Tzg=$c9aus*Niiy9K+6AOqbXbFF zn9+dL_$7L918(5fk-$48AJCGk7h2O>i0*h$H|eOGP&sGn@FE9Ln=6L~B&nZ5k?pRi zNwg-0ddX5DyK0Uxw29%VairB{7*jAe^_Oe7imfaqFvt12Bu25*@6~gaD~M+ zktR6VAXS>aS0OLcYE45ru?e3+jpsQkPVf!9&Xw-_QKoJZ{E_jGIKkS@rNKgI=wzG} z5}v7`XgD2j@sHaSZW+Hjj_mSG{OC%i=f<*AcBUh+hy|k(%DC)vIzY?!#~Xa2mPhLv zj>=(@m^T_?X`j=srEs*SVNP1i>?|GY1eK*@zV3W}Yo^rbN$K=U_|SQ&L_+ZAi4Uda zV}eO|B+z6Un0`X+rG)XjYbkUUf6327m(0pS4V6r;=bm?J^l9gwyq#vw2XFk~kUN?u zewEFPFBv3mAra7UghYwNNClcCYF-N&-@!-DQV3kM-NH0f#4J)d*3>wbSJUM^KO$bh z*VTd#%Q+8Tb1iN1D>wlTo+`F!@c1hY<0Zdn_?sGN6vXnlgA#I_IlEEH=0}m{f{Nt#zJb`7YZ{86I&_OVatnT5&*Ad|CS+Yp zp{PQ^CH|et;6M2|{!8+w4gTDUE`f%BZHLeItSiZLHQbLc_1_ktd&<4wetez+_lgeh zetdu9-U{x=ckX@?_u;4cUne|u=2X3Dq%9PWxxtKM=0-iHuJBXqj&ZZnOQOfo(I}ce z2ckb=F3bKgfIaZM3 zH^f{IyN04z_k*=ElHIbt)!?Fwnf-D?E_yg?;$Rua8azO9jdWOxm4eoaQ3I!7hM#VV z%)T;1ye|q*_Bwu0!bxh7Gzz>unGwXWZbNgjBiOH{m><-DSc|P(63o<)9of00X2+;d ztDCaRNE9%!wAzu{h0r3o!B5Qeg4oO#D&7*tBLx**2l-W+XHFM;E2q1vN?hR0mBS2L zGYf-fMA__$L+dt@T^97E0!TZ2ZCPA1_iKOkh?f5OT7U(9Bof#hPFRrVgaeNrStbP1zH%OEvWf(=#7~ zlBY^6)<_scTrh#m%XH5nLQMx(bxT)YDW=W$MV)l<;c=X5B#6p*>auP?G(omJMG|4e zuuIJt9C5iyA|lgKZSWmG^ukpiI$ji54S0~)RO>=CilI01*fQaSScex1W8#q+vv`flRzoNKI`Cu zR;;@L_D{%;@oC=a@eYRJtzORAV5$$Ya?(b~2}GW7{_GLI$&Idj51$zx9agclzt{wUO(bS{xuj``zU6#=}i z?Us>~62m%7>l1%W2G=wAjN?V(IL6v~ozZ~4VHd>AUrH#7EMP@)b_8Ms z!rY5;H}6mp`mT(lvg^COsxvBSy!D2~TqQo3o=#LHqI1DCcA}F`aD36hgjk5{xwyJ?=pOTa&%mtXv~cqvZKaFZv|3HHRRu`-=j-obS>2_P@uZ z+&YBpbkrl;X*6V~lJ|p*hCv4l7-7wGB@<@p1w_J)F5}@a2sFrR=HO=FL1!p>v`nH9 zeDCx$2<2MnA+8w$zzM}hZpX;gP8)kz42~;aLJfMoV|^sbov734oel^gqQCUvx(1iu z;Npo&brK&x2s4;EURRw~ss-K%&3ZWV!3n9mc#@xVJXY}m;$XzhU>1ABVJs+`!RhIc zFCju~VlviN5q1&vcsBN?WWehd2T61YwSH4dGn`CMj=LEo9ZR5wk_uy|na86>%x-a; znP$w7ordBV*+FOIPiE6>20PEunE83CGZZgFtcq!LXW4JKn@Fy3!3x2(@X{mBT>`;> zTr~XBJ@;H15u1$GSGgHCe3LUt5!P4K;iNY3B-yN+gXVDw8{fGbvrEss-|a14dF9fj z#m)Mr;;n;Lgk|{bj3G6xi+Z-$fBNZv`7i$2+2ZSWUVi10-nrl1d;00$THJ(t<}Tg1 zaYIX2?j0N)e2&o`;jF@zZ)_yjB9YFe5G=2#BbUFy4=4ii53$_Fo9y-9cr zS_>9TO_T*^?&+sL@y_Sm;SV^URu{RucX#i%h}c>n1s7SM;km?57Mzoy@xQf>W|7`K z>+HSql~=yDSbX=#wo|{kxappGrr+1PvaS9fDG zBUUTJer@rO#be^`b$7YE-g!r-L*9Q?7F<2Rf|S#{(I1$Co)6rkuCm1i_H4G zvY=I@vEolrDH(%%$71n6aEULzcJ`IUqWASy;Qo%Wd6!uf{QW z&O;tvBpk&h48>?*#7)w)gFTOo#7$D-@NAI-Cz4d;4FQLdB9-)ovNLN5QgjYrzPc?5cH6w?#4u8_ zxs4|h`5G-TuQTEi3oYR0-V%oDqO*KZmrpQGN614H6FhNR2?%Ifhc?}w`b?BNjSgB? z6kI_E6VZ+iA9UtkGHNmbRm#NW`PCuTW~ezzaAiQfVr+$GG}ZLInVIBaYRqnu zgl^%*>K0tunmMNNqtOgitvK$176w&f>fxD{7QVnKTe^l1Rk@n_R?rgh{8VT4z6yJS zwS4Kv1v96@Fsv}Tl*nH7UdKWjNCsqvg_dZ(-U_5lPh|Do>G!V5L{g6Y90ya(#6xwN zB!C6XjUnmf15s28m8;hyr!Zo>fW9@T z*TU<;nJQOBMo;>5jydy*D=6X2^RB`(RA2J(tzbmv`U$=Zlf0mV)APFFjBSk>X4uhq z-?qYA#HBNGo2G*|Fz$}6#~yIQD5&Ex;v+|@KNU@1`ttUYaf>3c#?zICRY*}E9+c~PYZjx`#aNV7J`X;uPUwl945J&V zF5tU>-=hmRj!2&asm`3kxF;!cH#_Y_)W>c-R$to137u=bpg8TF&_O134d$1Wc^$E! zqNM84J(WHbQ+J^**{edB40?5`Vg;Bx| z{eA?#6?S=cs|caT2a~G&i~`Ze&zWC-I`36A-!{+b=1&W*x>LrpxL=%B7uU%1Y`eD& zGuL&;J>F?h6=F^9J70%E8IMLMmCcYPILxn6}( zC~6z%ws2BS#}rRC%cj{mc}>whPTUUN z9NbylS&YYj;RQOAOA9<-Pcr||dP1_`+BNpqX)Mq***6yc=|B0>Z!+ue9Zxb>xYhjm z7ccJ#-#hqn{fq9(mG``-*L$A5G&I-sg%90+w3T~f{Qv!*{^mEB2>Fh7y;HJ&@%hhR zzAU^`bH2a$!jONWD+-m;P(BNAS?+qYl5+eSM$xO(-B*G6CXhl@L@CMri- zu5;h_eT(a_-hSbnnh5E(J9sVY)Shp_cMn*L*lOao1-Ge(%j&W+jpX%Tw|0$r&){YX zs90#*CcYj0Fg@aLn98+0kg9)iR;2{lu z!^PD;C>pJvuzmr2VluRPpy>%lAc%`brKT`*olu{J6g zIBM&Y5KwAU=u5kLH11j;lsMw*v(f~R8=&C@Y*^56cD)ItlqE?KtAw@?61}ydh+^3J z#?YiHo(;i^twD^uvBj^NxNY-(zLz~_0 z3FHk^^z>`3)p)CZEu?Ex855;gkdjfk(uOt4wo`CraV#OlSfZ=Is(8$@sEjLNk2#LY zdfYowMvgrz>H3ZzV?q(tq8z(wgh@JA@Jy2_wCWp5NQ#qVNUgv$dcWf|RbE{~v=9Sm zJhZT2ln8v`+2M0xLWNEV$H22=jW0X{uOpVD8f0GMt3?IdkFnAtq+vnf7VIfvtGuZL zP}=5e>qFXtI@JJ0wG7SGWu{JezG&s`R>pU&oHd6E2HD=2fv*Y_7}e%Al}+cV6KSk4 zZD=sA3RM{{p7GmSpmF+C3s!S5ntG5Wi z%aT}=IX4&?Pj!w%e>(58;W#k79RcKZtX&}xhDZ4@ZFe>t_J@qrOF}?TtIw#szKnI! zIb?%R!xQ^LmzP+Y(lJ7J7XuiT?RD~@??kI0QbtrA4Y0&fHy_fwCq>O3vN^}b(_HGD zFCaN3RtF%a?DxjHw=*Cs85j4|4E5sK0yt<6if+dA>?t+fqV2%LEb44N?41$}tEw*! z*c4}~w~cwZj49eWY~!vFS6#wDa)VhffEF3cK*@kvO+BcSjN||=;(SK$o*>mD%WxXt z!sC02%!M%EQ`n5k?D#l(#c$=d{iwb-qv+(AN z?{$aEdN5$3?J;#jS{P%e?D{Mxyy9=C+~V_i)V;+Q)r_3;$|$-iQyRE8XbM1$0eVIj zt*rdx)8X)xZ=Sipd)mTyRS!B0#j7H!au5#z=jAPdLS2T}0(wua8E33eHV9;Kx7N+J zV3d8pEL)4Rk&(T!!_xm&2+Z-`V09WS%Y$|NFTNkHrEkHPT0%Sa-t^WTBj!Wf7q+<8 zipYP74o`Y`zqC#!>t1@K7`q$JM(fAOfZuq0Df8TFjDATYaOdyexg-3`Upx5ztE@cq zZ~n;sOTrHh4-OW443Tev(A`uK^l91W;qgi&bjR!(kK7Edr53WDjdW7z~0__i041^>;K8SKP~({@A)F5{5N(i zNMrB)d+6T-9>xM!9u&=c0lW0vQwQx;WO(=4N3Z_9{r6O|%HSz3`E=Pmg`Yyk=>9j4 z{$MvND)-}Vzux!G{o9XT?e@V}@B;+bapPRRP7}kdPJ;TrHT9hnzU}HO)5L%A^Dk0v zt5M(dk+r@^U59OaotMIwx&PF49a_$Z`OK8t8GYyTL0_3B{@!Kf_R0d=S=QCdcOohN zHh#X==l|C8f2-xZ_=Ok${*ONUjb~eY+fVNoKFXOczI5la?R!5-VbNtK-7H^nT)FbT zdtSBcn*aLqfBpFu-}~;pUhm4UTxs!bpHG3wBUy0xK3BBw{UnuY;OecMDBH1j@y5tu z@Etmz3r*59=zR4 z(P^i(oDI-sAv(N^yb(bnvrdsBXlym0!vNbkBX7S^Lz}9ppz|blb2ehps=~!5z6UD_ z>oAab(-u*#w1?s`PUJkE4#V-A_E(RY!V!!?URugE>R>q=q8<=wnsgc=W z_Q+osy?!ZP1zV>(ZhW=Ni5RWH4$UAm!Y=RUIsLn?8yS7sLT=uW^D#Qlzy~tJf1~ay@v6w3$CL# zR&QR)){?Km5(K=CvL_>uMa=HyA@Am_L1O}(yXPvtMJ*VuD*VWJwnBUg?Yh#p0a_I& zu2U-VN53(Na{BbLT6{e9;OW*`*w}nE9dx)0WrMt0S8;xrc@oTv*4jFokWqz<2!E54 zFgi>&7SAR%4x4gLJpCxVoDh*>>JXn7dz}`M^e*CUf@a!S(r9Zqwnh-)vEi(O&ID*~ zZNsWxxGpqx8LjwYL8LBMXE#M&ser!=6nJcPuW^~~)$5+jqey6wuc@ zK3L6?!!@wj$jR{3ecQU$Zx$V-PfyHIoIW6i1^1}`S4?-;^0!IbWZAMGcK zAadPM<2#*B*A_}^Xyz#;_$r1aoSXWROWoOqz{L@$dR$zw|f6|J@(_^*=5D$^PeT^gDmN{*>^)@x_ndly7i& z{aH<2r)B&=-SWX_+?B&CZRDjNmt`X@i@)-@um4r?e)s?U(vQghal0$qoPcR%)N#=h5k1LB?sUl?%Buujx@pnke#0L=g5 znNR;y$t<4zOIBpR*!_`zApA$Z=gMD`|Ns5VKWce&{rWpDKYNAQ)7L6@%~nzq*F|&B zb&>^oO}Y!~7U(TWf~DV##e-WNZ|KW4rXJmQA6~!yma9;0C)DnShiWbDelE=v0;#koq71>ZgR?g4}BXXlE%ZsO&yyzs9s zR+23E@P`i%$1jYToAS+o!^4k!RaX+=>qFAO40Nb;yw$7aqG4p7(Iz~kzW zi8>GIH3_EODJ&JG;#Vofwty1`Q~4WRC$z)o!SqEjP;ALmE*Prbe;YP}IT@~wa|$s+ zT}wzp&UAU5CMVjUV6@BvCL19TgsJ|rTBS7uSLtXDijYk+MvXdOjc z*CaeaP%;TBN5YX|7k3mzxn)`0?<%V)($To%G1>*DOJafVHaCn!d(}Iyi;As`m9vphD$0>v2P4FI+e6nS+Vydi1a9E8-}TW4hrE=fl&A z<#8cW7F?wi8>8hlW95{>z{O>X{mA&Y`1c3jSkOua!~5&Vn(M9PmUSj za7lzfYC(-((1iuM9Ar39iEITp7YeX2 zGnHTK?-5rEiI#Sw%ZRx;9QtCCI7haAEMpOPeGJ{vHTy3ae8DFf!S?AB6s$$m_X9WU{F1|lb}93$0r(6cVk-_(g}a)B%fo&M;w zj|JThRpX?bYnnG(h!M4S)SIz8a0@g@Vvi+r?h6qKjv>+tCI`RyM#g9^PabcEBcGu= z)sRHNZQ2AZu*T#J?iUTvM^>4xLtIDNuwJB8D%^}K8&4Nw#V@#{tm0x+F7jUyG)`M%-V{SPwwE^dD@N+HR`ib)BbC=X7whD;9 z3HQS#ec1is_oP^~mFcx~U;TGq7XI(Qt%gIZt_-&E)Azx;Po`s%Q7gunDZ+L+}}|Ea(Jn_}pT^K`5VV6phbClW^jnq>U+ z-KU>6H3*w8=MHZJI%#&plE#KYYhep6-r4_SDUdh^|M~y+HHE(OkNo6+EdTx%uu;)H zERc>3*s-AP#ebK3Z?7BNue3P6_2$Em#Y^t{ejyJp$4mA5@W(N8{uj8)pgiKf@8h|9 z%KeG@ZI+5IMI8o%r~KPD`}-~DL46g=rtHVfwWX%70zwvttUP_Eu9uA09avf5uBh6lU6_P&nX9Y+vPeMHb^`{H3rn6+VYS{;4?^j(6EQ#8B8)Ng0zIG_5R>Kv6Jn}AZ`*(<umQDj}Dc1yU;m3aDt-cY)u~=mmoXSD`Z#vHN8)>s8$H}S0<>D*> zfr7eQCPKhtqf+O+G~ww|%r7vGcva%ai1(2$pntsVAM=dv9}gc zHId7|jEwx|EYn5bjFEAOOAw_nN^*1ROsyLm@3_V+C}u3&4v|v5=Jf)xRlauEJto&~ z%0*T~V-whj2RoQ^@KIYD$6P* zlD^Dg@;No1LjZjR84C56Iow>?p@~hOLZR}o2S%`TXf;gTac8dUUz`M_45$ugs%hNK znX|6@-O%@W(=@H9nn{xSGh zEXkR%<8_!WMwWLc%nMat3VscXFFw%?H;IHpf5gx=Cs9UeBztTUXxf!r#zey<@g=kkf9kPM z{r6{IKI?qw13&ctir0Ix_%-?T7Tt?`m#sA{d>1}G{y2&Axh>=3*Df#kX5B4VAbz8_ zQ@#B1%b)z@{^F+(76-c;66Fv7TYq=>>X%>b{QQ6VKUbn`TJZVLC#mz?kB>X#se`|Bt_9bK|EK=&7e95Kugm{z|L^^`Z93n$`;EJofzQ0K zSoT<2-pW@l2_z|hZ|_H!sV{!`hksZ!YC+BBx!n}rbt~$}=|8yi*EG`pzHj`-7g~w0 zeB~S8c-P`xm%sES_3Jkvt}s5|uZ1n%^_A9wy_UNOA++Hi`k}?91$u_+W|Vd$hIjBM z+#Zyt=rg~~=zjvgOHIz?GtRx=-J?1upnfkYPq_~&#(**MTK>p=hwv8op8XMaNxsDe zmu`N|9>|OLF8uAoG{5p%110bZfyy{(Il+ z*DUxXVi#G!N9|Yt&S!SI^2Asfv~Ix*hgLB!N_a_s^4is_<2(O+ZOu8B`8!*(mjPBu zynFj^{wh}{>8+A9{w_u21?QrxZ>i#fz?0Vw4#w`EucdjB1J{h1vA%fs@*P!TbRaW*%_5>+&?`r$^KjT%evX%_FGlYUyXqOX;1zD-_vqb9Mxa5~DXxBM zOr@~;7_^_jbf_3o-(7zDE09r(Ua7PoTy{e3j*4j*73#5%p+$`m|7@J#T+oW=|S@Y;z$QfQip=T!v zBI(rB>mN3TA1u4dgY{jxJc}^IqsB-r3?37ZZ=%NYZ_u8-#l2nqc zp;V%VDP*a(fJN}VS%e2QaG<)T&!6GuF&bBzXYiec=sI1I}s zxMUhWQQA78lGW!Tbh5n(G$JXBRC0paT%4X~ATwE6wKVe+ZMGJcS$aj#PcKzWAekK6 zm?@IWgin<@?4*PCvf&exuU(T+F&>6=cj^U-Qyo?Y6X82@&ntrV`_?cgMw%JK8~2GV ztqmmexY3Rv5RVI4SFnCy4*{}xmL_QI##*XsPH$I2lvLrlV3$&v88M${WOo+o(>kju z)tbTtKb{h2&b@d(&N%xlzt!h039K3%{@m(#k~m5l<_tZfp-39k6>l`0&oAjF0qmEf z&1}kYHtWPSp*}JVF=+@# z=kX`p-4frUp>*uL!)cXeh2Jm7^TFJ<%W1NJpxt|<-DfDzoubaZt=HL5Pv?8 zyl^4;JeJ*gN2ScF?%<^>Vl3*Gp9fctt4?=bQii+c4-0RGL z^H=Z3ckX@?_v1hH+q(4{{PCBXz_QC*|Cyh^@tgPJ|I8)2y!X*?KfWbu*TOQi!j>(! z#Esh=eD3}r6C8&cf%>V< zqL$B8PX==no-~Q0v(srSB%a!_t;LyP8Tb^gzUW*3O`vh>B9+NnN-B~8hh{jbPqYoA zEyA)wF6kP-;9TiSrgK+cNHa5;V923XU$RsYtiV(c?64fNS|EaY6Kbys+HJ?h6Fcmqs39ED6q*u#asKoGckX0tdCX825?i(QMw3HM;9U-w& z!Ag!`WqiUnT=LmW%~CH{#begZMkZEZgdce7fYR*^x{i+_k?GXyS}r=Dl?)Q>%}iBMHz5LJjt%Gym@yEz8aMBZN#(j^Oi0g51)8JFx2%VLiz7u< z+PV@}cM1pzyz>;hpU~&wJp3e57ozLZN#P#CsBtT|<7et7p%Wj#FBQzPx$}&Ci8?=F zNR;l&oN&(OG;D+^b7?brHzDqYpgs=g6ipzx7Tj|9+?30my_q_EY%RZXq0ivl+{IZQ zVGU^rR*V#%&K*-DBP&wXBUY!@tXi~QtXP>PE@He-xmeCyBISUg8xkvtpcSafj#eg$ zB&y43&SKa!H&vB8@kE!|fW@&4U$cOeT%?@~y@3-Vl##}#b2L6%>W&{W<$0#=1-{h< z;YDC)ZOiy6Rk+9QqkiCF*H{1`5pXqKqy>8{WOYjjsG1_8+8735O|Xry^l2p{D5a3h zm(x38HLQFxcXpA~Y!`*f>1lpkO9%rDpG|V`T|lG2R8Vu4S=}B(@^`Cz>>Qjc&R~l{72eb z9etdM?vwbYu$6S%t5JUk1B#Q?_~HM-tl-h}?(r|ad-xkQ@gEAFdFJV-nUs09inQ3j zyT?vOOUgFBlH3YC|M=st#ey3*uz;-X<9 zmbx7y;rokco?*C!0UqWfFK#kX_k$lKq<=```yJUAlR5^%d|X_8z-=?n=z7gk(W_aDRM7+zoMU8Ep7G z*!wQ&>|2!QHzr zyzu0cS_%C2LcVb4KJbCBew9(%+gCej)j8z_L;uUG@?Hrno^;i{_~Ko^u6fb7Z_5H+ z=8ms1KB@W8@`cNSZZ}zwR->}Kcu)&cS+#ZLoVMMLeKxaF4_@cI9CfL7fwz_CK-i*n z!Ml?!;u3AG+7+Ol53H`orLYBvQEXDSF^jc9l=j^eRw8<8#})c52&o4vm~to&y!~1$ z)yL4tp*Zr-vt6{d|F3w$lg^|O)Z(|XrAxh#Iqm&u^5Te^j*;wOU3uX}5O_yEI3>duowzP4`*@+R@EUZy+UE_#Hn8EJPgM$nLe83LQMe!) z*;|M(Cdn;C-vGM~s)l6RHrPUz2JUb~&`HvJGgrP>rTN{EWyw`AE%y{@ zdPbdHnw78J#PV2Mx)Vu(C*P3dtQpW!O>aaC%}RA=&>3Xe$jb`V?(`-pnK_cN9OehT zZ3B33HL@zGetPPwtBtB7<-XpGt;1k>x-C`LOyJNe)_pgMEj?=j$;VxCNEU3A_&fUA z_^;is6}6)1hkmLcx0~^rpE~wqir$e+jLFYu{aHOYGLdr~%sm&hh`66vQM&H9CH*h9qgAgD%anddEt6zDOu80`kN zUP-)CFm)ZJ7t2WBO9jMD3`Psgy=1;7z4ku6_LK+Z1x85ATFj&&cJcY_w`PiInk<^= zm6dXBNR`!8BdTN9aGb0%hB*T&A(cP$-Q;AhY!a;UGU2!?H*W5;C7}tZT34>F$Q=62 zbt-abjbLpZFmHWH#W2kky+S1lUI+SvvtFmJLe`t*)47B$21VR~TV~aKdeoat$9XM& zWhv>BAlvdtPm&IB8pkhT=P}I(h=j@15a+Ru)YupcdhPdwE|=R5gveJh{YeZFb-d#N zVxJYkHH%zf&7*fwKg;15m#rVGQ!!Vh=;p_9R5&&n&qu5*Z7fB95KzU9{EJ954`M*? zJwctxFO=z&P+&iE-WD`GdDM_`ubMLAJAi+hA+Fi3+`rMr)K{|!k_GNu3s@)iE|YNC^p;Qe4j+B> zK~{-vQ&{(lVmMS^dEEl#K>NLLd(u}Ap1igmPhs`e_80rt7l-@T@9sVE#5R8uw@&pY z$*{{;e(%onOv=7|<<93@w$A@SEKqN4-|b&#&+P}W;O9bnHf=h|FV)3)e(`9@gQn$l zUhceo?`^+OMu6tXnWy5EusB<~Y&8`2t zU(l`Zk$R8bdYao?t;eMUY_;&Q$F5!#{k$Hq`iqDiUhS}j(rX0uL))mEc|`}fu^_F? zbY2fw{YAvE;7+ULq5OdKjs?2!p9@s`vu#G(hu)^I@WqRZ7gyMMp_K*Kf%7Dmv`q^N z(c3=sQf4Qhy1hf(AUuQx8}Z&kzC}53VV!K!=c#xBtUpFC5P4wo@?N!!@kVN2DqRay zt0iz7YPH5q!R@?BHZhyGk$i9)YhqMQthc;xyBz4W7PTdN5$N8Y?bizJ)_z**Ewk~#*DuA{w#0+i#g$@N^Jc*Ib@gW0@K%~{R_T-T)LucBytmx9 za^S5Tcq<3q%7M3XfLC=czM0uz?XK`y8r4Le`ug~UG z8}3_z_V}j_@@)YAO|xO6-k;YNdDATW79{*yUZi~s%zCX!Y`;f*06j6ubQ-_a(B4lq z^WvKf&1I_384cRY_S@sa{3f6LTk7A+f#1(L5Pmuh9}I8XWEc4lrsXwCz4=|u*J$|fi)d5MqHPYX z%>vhZ(=}L0tcdHh_3%n!H?)#lg?6Rhe9Gj_H{ti01?dj?W|sP!ai2+joOa<7rX;`S zQhn_)*OP4Xpjs#XK?=Y22lZ>orI;f18~=?d!-q1YO|tRZ@_#5D-xT3D-IC!$eHQQr z=RsR6Z?M{bozy+od}Z*jlg)40`c@9SQ8}>D*V1I{vzqyClsA;J z-Sb8H{bv8aq3OS+?7lg$*$Lbg_uI3ES0c^R6^VPo{rLBc{7qqoZ;IB3Q5qjqxYzX3 z+t!5qu|-alw1$8P32)_uZ&Fqqi+&GhU2;9V6~()-MKN@=MQk;k|GDs%@WY|;;l#gv zrB$NUnt7{mn|o`_2MB73_FgmSw$hO5%|+2A7cOEx5ZynJ)UMFC&zqRMF)roVD(7}> z-lc3x-%rCzdb3oH`{JCFBkj26Zo*rX6n9%gwUkPn6~1J=zQCK_*?S!?UY1cGIFnyr zUaiM&+K6rTwf?%uAI$LT3VE3Ge=~dh$;M+m=xwrcL-wws_`^Sch)(*J; z8LHRa_xG1ON20kiFUMRjTEXF*s9U5vz!vF2&|>vnLp1qsu?5x|3K#eP9^@R}NEuA| zb^$dMc87YVOY=M-&(C#ce3_wNf~Lk|L>Q6ZHxKToTNY-oD|u;P z*O&`oa3B4Vm|-)vR0`y4)%~^~tbTHPh~G#=K9QcJp0Z*f9~!^W;3%rLC#_gZu#2P7 z(#o2@l(4{V+;qNLZ#=Efu3d;%7L1@asx3Y^dEBm7Zls5FE-s?!rY66Mu3@U}_X6!H zQ0xe>{#2et?2qQ|@K=PiQdQGmU6R+bjxs5mMFyAbjX;iyxIqzuSsANhv#@;+*H@4l zDBD2erwt9JRuHRn*QzGDNagTr(Ns?at*>y--r8Zn23>rvKMGbi{V>G}cu91bn{CV< zEY=;D0xNr!zj!)Ejo1* zFGjU~%G@0YZMJULM@ibr7b@U6r=!iNYL|s(pD*@!)){9*m9U4;PoSmO6{>~6KeE8K zh72lujhV1*roEOz*`(0yMW&U;*$=8@C$Q7B&)1B7zGkJ1F|uS{$$n4?kgx!I);soL zAn%CB&SFOj>}cpE4JBFABk>*nV3k6FGOox{CsCGTV)V1JMj zp@sNWc)*&5jx@0G_)>%4A$%1k|;p&-#C)J~q68%6l7pI+{pYRdoBv{vQj0Vc0)o@x;jO+aQ zW(t&xn@?jINs(ZgYoD(<^-wqjmiDVHeQ-e~XCCE>U&h#ha}NzgM8lHL{sESPYWgyT zVix8;8wcr}vez3sh4pjW2~5Rb)!daVlg0Or^WnLh%cbzCIdz7n9Sy^kh6%f;?eLFQ z{6XE^*zdc~mwP-V%es4MC$R=?_y2lpr?57EUYmcveZ20+{}${N_D6m!q;tzxJBhWF z|K+2XSpM#}JMGD@Bw7l4jopvmieBVrZql%F?#1b@JagJqN`Oo9o)Cw%V+aD?TseU& zXwn?Q?Rrw+fngORuEmKiVJq4y)EL)F=i;0OuIl?`-7E4BB6;-by2)+|jYoE%pMXjNv`jD&`z% zDk+ZgC5@0ygu06A*&|;pzsxc6u9MPF=b~EA`CyZBRc?Wz*1}^rYLoRS3U4P}8P5Jh z{79}*Ucq01^x5*UE7DddHW?U=9xc7-B5NccvoTUcbKx`KbI9KWYC+!&;54TBn4Zf~?&DE+Y^UWhvrnwTr&y{PjTVwpYQo1O=YlKLV@bJ@ zgqLn4q(czRU3VgNV1;)*`m5+$OCv1RfS9H9qNOUT6_fd`6D^S3me%-Fdo5MFsg*>1 zj+s?cg({s@U9(awS6f|Y-m_kNRtN1-=)-BCbf=v>r=;`R@(6K9|Ie$##cI->aI3jT ziLnM&HnAaaLTjvL&|4_tMlIWoRE#Bvi#!PQqX9{29dXqpA-M@69!EXz-|C%4ODr+g zT?7o|N6J>dISSYjT@18UL7mTgvEtHkrSDcWboHYZtJ+$*dDFg*U`x zNnH!ftQN9@uKCKoT3lJn1y>8<9zVF%(6f_l(pN5f=yMmSZ4>PX23omJrb1{W#{_hU z;d=D{Se%#87EHlsbUszzysPOQi&AGCmSKgoff*o|O{W#PK%VE$4+dj1p~eL8Fb!pH z^&pI;!IBTul>zmDWwe=>P;K1W8?|`Lox_x+f*9m*qzJz8L&TVzI-tEYj#-ZUG+HrG zClnL%fcil+L~?lmIJAhW!Lb{zUs=Ysd?v2`2Cd(qo1U0iWF2`=2&G*+h#M)bGIp7F z(FxowgQeKSW#xKih)4EAKbd+rMe-a_X*&;NiZ4(>07dc|cWO0*><)Q{0;&V%^Lnec zdN7#6aO&O)im-}WXO#<7s57W+p_LT1D8Bx66&33_Klc9jx8>*D-Xg8m{+=(s_~MPTkKL8*IwT87YH0!E zUX2BkYg11)r8TOr*3SI|w#b52N@f9ZwW9Q)Hm+*m&;7a90{q$Gr(62cf2#Ay=d}9y zxWl67$=AKC%R24d;C`j+-fRAMJ@wv8dmsMJny2oscP{PqkosXAb7i?Ss3kA`tDR?`Wv4H*d`W!G zf!2c0z5nLTR>|Svo%g@+!fjRRbKzbVWVALiH!jAC+0>O)PbKSl?sWx+S976rf}1dH z3@hr?@YJs01QAb% zAe#I7oed)!b*tXG2$Qu$&XZnazlLC+yxaiWJcJyioC;%X@}b$Cz`=hI*nUHi6}Mca zdy62_G)e6PkabC&XU*~Y!0ex>HUK{98qwn_G`3)%lPJ1vO`(C9$hf_t1Su8b0IWKv zU>n=8%3NBC=3nS&f0n{dJM-E>Ozr2=EE^IYHSy+gj4|mYidk*+v`MuLs0^o7L~L!% zcFRmx&Sgs-CUTAwq3Pg^vlNPG5v9T%Hy2YuviuEVj)9^dz!bH4eVGc)JRIWt2z-~+%wAqYv7X?6w|X9EzFc~4cO(I6+8K?F0< z;NMp#Ta3++)4lU-Qo=47JlZi?5j%&l3KojOX4EElA)TkFP)<^qy2pZi22gf_)TIxT#NM$mCI`n*$nbe$Bv1_% z6=AemRoo=Nurk78PQ?Q=sA;OO1R4fWkTy=L?lY_EqiHZPdrD1J#>R0rGz^~#MHO)L zG)Zus&BNm)cxCNw6;w0G+(*%QV!yAN9l(jS%QSR&cXYlmBhDT{N zF0-I$$_qCZB8rVEilm`Z1I%LMCIl&($_oadb3xxZwV_Cn16YazeV+{$D2Nd$-0j9u zxm+bsWi$etDpQcg=5F8;#aNx$(Og+T4scC!pa6$lV?&Tf8W!0#U5~1PNa1cShrY$` zMiwfB4XuWgOBLOG>lTP?#hHvxME$5T+Rw z4Hsi(e6<@@6q8&LCtV^1fn$&+$#g6t1?mgFL8vMmziG8)2y*xi!P~C;EGrIvRbQwi z>&w=s~^g|HO%3yTilLeGDpC0g@{sl2UhF0+fG4Z7^`_&GIy>~F` zwm2l5c#s5N%g(bq*)oyZoiXYzV5(;1%Bu7b*7Wi(i5Y%UAv2I*`zkXznK8S43iJEd z>$TAB*RGIwzb%2*ldQJP>~h2s-F*H!(}K$`!_m?WQ9Hu;^3YAl%1_CP_wR4F(^t!~ z*j+^9pz?d-Z+4;5rAUcqihFJWeT|;J*qxbAcFZ_wM@f)&rZx0rBLF|dY!tJEc$k8z z5XErS;k`x?rjI#k2+|hDM)PMOC%H$P+(w4nJR_3Zmvgg|++b!{+wMnb)f z$%xaCQ$|lik)4)9fI7glNWTVQt((zNF|zN*H%x;$G+-f;_(p@*L!|H_=+Pj=D9cc% z@l}+e&av6x*i>vZ0>igqZOs%rEQ)rTO4LS2F_|HgDSSMNV;Fb7>Bg3E_;*afIUU8> zd)P_Bj%>gWf_+Xqb4D3jBC3_}335TEgK`NjkcHV59v~+Ku1!H4nQ3H+U85$I*3=Nz zNjJ2^x9AMH@HKdPNtuGqVqqcBfjN|dP>w81^hDAzt5sD1xtUAJ!yQplqwt}fS?2eTIkZCVW}uEX$JpT zlNz8&cpy)!d(}V#^N5*%7>oG=;2i7nsq9#c1(*xNZY=0&zzWhBCY)8Q3{?XVVms#K zRvG}eMWGuAQUjJJC;?PQi!CNgIGV5>bHX}rt3-uitQSLjz+n_?(j=z9SCX%$KDK69 z6)V6-ONceVjiF?xs_-}h$F^w7-G&dzH#EDO!&sr)5U|DqG1V0dD70QT8eN1Ia_mWA z)&_-X0jK6^kZ?3A3JXeT4zaL{w~%9QL6FATSPdM)Dqr14ymE9{=acl`gbtxEbc~UZ zt9n=fF2($3Bs1cMFB@{J&4L3QF`7GLOiunNC0$RA6oxPOLYKmwk&5dFZ3d}`rT>^7 z6F#|O)Ah5ii$bdMG(tmgbnOg}x{eY{b)Q6B%FyXqBs*<)ISQ=QWFhI;C7D@!nB>E9 zoeT|BgAH3c@f`V6y-DqKF~W)-Ctu%0XU*phgutWz4sY1LPF+e0~14PEE-p#hadaXKRD7-F<36i@9d961%FkWY{D9kz8eH3+GbV`~7n8&b36Dg#GJamCJ% zJz=L4H*hQ@*LMPe6w#cT%PC=wEdpigNBy5!S>O9oO6KKH>ucav)=xS?qgd}u;$Rlv4mBW(rh z*`x%SONup%v9*T77GrFu@ZE0mH3C}jxsMDa+^HiDy57Ri)Ce+~Dx;J_&TL3yvq({1 zW!VNGJEhr4k6tn*;zX@;ABh#_j@T?oKHVyeP@NMg5o!fkzRMz-Wp#5+;Fcflr z6fjHFiPXF#o=M8_sEgFFinvN7e5T?@jvf~h#3&9yD#SS?CJDJ*hx=TUsdj!)C=>$) zRqmxZv9QM#!Pyk+SuH#{t!srA#Yqi*6QanNK~4mYt|2%)F8Ev{Dd)whbPm9ILxDza zYHq?qAQlfs+%kNC3m?ZK_iZ-rYXm<1oLZQ1PFQHT!-^i~hp{9>lV<7k9B?So*?>t< zGAL>UQhq&V!W??EbDv61t$R&{I!__8Os%Np@$G_}>1vwaU&!K2^T*je@FP`mR*3uZ zc~#ef=l2_Y3STB}?&Eejr7%*_B!UqppW-h=leXj%I=#^0!z(H>%Lk^&I*xQkNn`<0 z=N6E*@uO76FFkBnK(cfS1oHCIR_RQ>J`9hk^pgDWy(dSGn?7++SeClfFn_sOMA2^CzLOK=6}clqf79jV>%8 zakd55qK*06HF3!#1)Z#;t*)2te1)u2Un$$AkFG(`+NDOOJg8yCY@xjX9fhkSnDx8M zC^+f&vh||~K}8udO2SY0LJP4>(hyZMSw}e*=_Eg6)XsN;370OFM@DW+E^%b_?M~aZULzL8ot^oNRNbRs6Tu-*iyd7|T;?U*apzNF!Q6F4GydK8T zMbZaVtg zRF){2b5cetjDF0x?jx8<)GlaDulRyKMc|dGAYwe%Oo5siJ=B<#OR>wm!p#vpwHoP= zE-cZTfaqyQ1)D%KQweJeFm50XlDm_BrWQ_(lmTwzNiwXb|B;)a0l%&1i}iv#U&Lr6sBOWRfV}c0V`H~GK(w+l5#!J1mDS;JJtfjK^)-s zLOnPRa@7LeK@ofphZzrJ^SUsKfQdv>1l1r(0m+FW;I8o29|5 zR;M$fbfJN2zye>F$7;8D6gt>_*;!Cr<@fA##L^U+RT8@B)&l)Hl2xVKSZJ_Zo=Zcd z3GjB}@z_0RSDU^&7L8i51|ez z-UHb(5l`%U_EZXeePGrL zm3%>dZtC0uR>jWKN^+#C_UCEDhR=FapaWI=>4XD<&&lxhDBW(t-GTw^WS4y$R@VA( zhRIbD)H_@EnwXORMl!b!frP!#zPxhzz7B#UW6Bh21Bt|f1#JEDPtMCfkB*C+lz5{P zjF#tjvCcJ4Zx^X#-C9syPS19J{PEi5oey6V?fD(=t-#jgG138E+L2NIQU3Df<;!#K z6laQ6s?-iE_pMz!ZQ3aRa8NG&e3sjS;M%U9O0|QKTNfw^3$SvVTTod!%D;E`-Y)cW z+4kSTLt_>f9u*B6n@znjH5+3k|DR?a?UFbdDti)7awv_XCmiZ8`)*=R_L9u6mC-xTbX z;Gwf4r8+zm@}VZh>!=G2`rZI-NEdF)F@ug0+HFI&5S;0*}Wj z8Umlz^hLqLmXksj4Sb`uh#QSGkuL84h$K`~ZkhCQlb8!v`CizeBKEEUzEvDQ*gGO} z4KR)4fZ}$ikN*Tdb`)-N;b@Sekm;nQ8ic{>=*w9wTUJ~|jS&yOC8TSF;79QjvXCVt zOK!W{E(b`?9bK_A4|AYm{L6hT4}dSF+pM5L(y&4f0yc7pRhCp*u7)x?7 zk9=r^p^<7)p$ng>c%Bt5Dvmgu_>hP~;Pangr{csFBtmL)tf|G>LYdInP_H>%C8y_N zKGmv1M24s;68SJzBL=NDCu-KuHJ+peLA_Ms5D7gRJ0pvT+SKB~3QeY$Sz5F}2Z2UsdJ57hg@$cJbjM4aVnl5a$B@vGNR~j-6!rz1n{(X{;5s=RH)hUh z3`leDbO5_Cr)$yB7I<-@$n>$f;Lwu>muTd0nrAe|hH8nRgN#UGBa8y+ds?dY4 zqY5M`e_{$s3J_J3JPH^Rqhb(uds>?8cs`n&sOZ|Adn&NRmYQRyIe21Z9ZFOZ{h*1B zTq7nzX>lhl(+#6-(CMXnia^DQD$pc6n~pGiKKG6wRRnens+J)1138 zgHHZEm~b_yy=YXF!r$B#)yQY8aptm{$-nOFxq0MY|Izd+@=qQ2`atqOdGqDtr2FdM zrtqNO^|lD-#Py@2 zFz+?AXvQvXY5$YOabT~|J_J`-P>#~+CoD)y_T`Gv+?w{&qE&)D;^&2VZJhIs zOwEX~=WA}pPB8YLZ@=~wjX5cNC}h3bI!b>_)kr?~{yxPqWA4l!iP2Lu*WCfXo^dC> z&wrNY<|_RD!J$}jbak%3=lXjJPp5jM_l6zaybmomvLOEQ|D**!nfsHU>~%QM61#uO z_0aaIr&S@CiNs}@;&f>iLvKS$G8-SZ(>xl@ zR(7l~L$`BZ$q)hlj9sG60dWF)Pc_}b^l(}xa#}beDD<;a(Nv|dI}B}&QmEu)keU(W zksw^9Bb##~S^Ug0JEqE%XC%hHlT_CTiw?cfYbQRYbs{YJ96IJ~Z7{225gD2GD59py zr%)7SM)WD&Fh(k2J)E9rG~=FJy5z9JvgpauUh@$yrd|V7RUx<;q@!f;fSp0B2JozOGci^jB>}{rmAph%*;KM%E{AWR}`8bvn1b|ECd!^ zPsDoerrM>I0Kjcsw?a#&>3SdlA>?vX2wFXQY&D@=$8 zb|O0tVhMhWUUbR;1>7K$;T|ceSs949!BtQvR%^iO5(Z`I9-XMljM6AS3e!fe@I4+C zNZ4$uh2nyTKw5}mJtwMSfjr@^AB_lq61M$o^}oH zG4i(`i+rc;S*Fh{mTvyt<5WVtJ${h<@R=viA^(Zf8h=lIAscu(`OnV4Pb~pv)xIa$*>f#6QB!B1kKIL<&-UY96%cjkL>PN&kXkGQltBvqs z`Lnm{$$mWGdwnUW#x+Jxrr|2Bw|KPkW`^lg7(WhsSZ_uvqTQV>)_=oC` zIe7Az{a9-f>iVEL7oQ64?9APRljIwKhZ+87q=>0=~)?tu3QaW?Kq3TMf})i0sB z^hm+NwGPnSL_-@$=KB?bi*lT@&ZRQ3GvU-t3x$+H)O)2F#2mvpp>Sxf0yWw| zbhAdn7{^K-1hu783`qdHd_2*SIH^{IyY~zYPfg~gh!E|5&Z0h zb)`xXp;Vj!qhP>^_Z3`E5!Qg08aAXo?6NT3s1 zfTS3aL-q}uky6zYk*`W{u_-MoT7!>Tg-%rMps*z*0-3HZ&1Ci~!0jF-QwD7IM2Zjb~@v zyrpJA&6Eri1T~ONL=MlT`%*wcZT2+FE%+FltD(i(V&m5!m9?lMQ{6*E#SZ%fGtEuO z)0l@8MA1YzwlqsqbCYUiHlLzm4FH{!skju`LfqwA6f$;1ghLF^{x_jk zagN2Qm*0$xh=Y+Ikdn-KHY}Pl?qg7a8a8^=&y|nHyG>|@do=YyFh`z~wr6uY z(aTorCO&NJu(4HnRe7X_?`~JE{ob~TG{ZT1K={9i_rVhTM)GT)c&dhcgI29N^jT0N zO=teFv5H0E4a1M=)?fc_=x@r`C(84#EchLzIQs-wCHb#y&i@Yi2CZ6^`gV)K`(NuP z5??wTj_qWBJnr|ec;&>M^!Rzz4@SR2yptNP;TZh-q<@IxgqWbwlSWSxp(A%w^;s2{ z{hxfdjm$$HINvVBSxtgk9Y(y-1=}}0? zubYg0i z1(S=1J#1;8qfS%pCP>yA7K{0`R2(5y-}GF>GC{FCjf`{3Om@=G!h+7AGeo2KF!(8K z^n^X|^nDBQBpLlbBNJL6M_PuxI2@sem>dQl&r5Qdp?!FM&`4$z!$eUHRH8sjm?xC@ z-s<(FVE>I4Vr0=np8(WLNl)oZk07j|6=g*; z^fKkpAV~RO+EB~Vh*C>HfiilRZb{}SsoV%7L2{2o%_Z5%0Oe>{lw?u>lWvqXzN3Zg z=_vDIqrJz>FSP>+LB6k0V~P!mSOY^NTo&TvND?0gX-K0{chg0ql0{7Br<)cTY}gqh z&mdkE3JPZ`?qR8%4L6D(xt$Y?Jwy`7P$Q$gr?HU_8__*^9`QP5q#>F3!?IME!j8*3?0J{G7CnRI3uXe7N&+|swE?x zp>x=NL?hEQ)JQ~j;$yiTGKw-S6lKwf=8Q;Di^im87lw~g%xaAUgY@pUBPC2HstkX8 zAXnwV&N76M#@Z~<(t?kBc$x!FUz3VDI|?LBP$?(a00+kd_bH5x+AE0KeG|dYZ|vH! z{N0MG5$%909Ig{Zp14JVCFT*P;k5xYA?}vxh4LbdeC=d|MbelpD3c}hym`o`*`#1J z&~mutrqVg1%tkdFvf)gNk4KS&AAhAYx+q;Mm>uoFO^-&~I{C7&p7zi@ z6>6&LqW(t{lU=}B$DRU2cnllAfXdk+)q;Qrt6LV~!GFL;N70d!kE$C-Taem;DpLeo zHsP{NTu+k=mG4qw&CQ_}H8q;gR_{^V&O+4Of=-)aLF0A?g9@()naPadK!l7&^I_a% ziQ&WWLYiNdg#|Ds>sj0FS6AF( zNX5KhTbO#l(maBPh3WR$IyaT2}e}k!ya(!{rIK9FGwT z3y3qV5=rYQbfi@2ryShk3rM7l5v`>3_t^(Aoz%-X}EAX;yiwW zCM8+lC`=@g(?Cvc!ZilJ&Pa9gTSe(&qlc`UnUv$2k(;<_N&9l^bis9UnSnqTik*r? z4xNf~2vR9TkC^7Ih(}wP>r-54i4bXE&Iudu86jr$#)aI_=83Z%^f(wjNsXT`DHFNV z+_D|7;1NF(qDFWv(>$LbA92td6RAbd93O$eH9PHq)#JZ zr2gYqbavESBgxY ziYRRvlx30%p`4+By@EvoBNg{mR5H&<6(tELf>=;0l)l483dWQunuFdFQd|o0XSz}Z;cG#g z!0_Ek<49SYmUNAjg}?*g=y7B$T6BgSd*s6&()obKLi|1frAW?b($}c4$N((*G8um` zHq4{YC8GD2JJGQ{B2sy3IV%suqbZh}y04<|fx?uq0;3fuK?)mUI6=o6Bs3TaeRz%H z{H^F3pbSGRU9RPjXKG~UK*gr#067h-k|jVMhy|E6rqQR90ujxsTIjh0RmB)5z(>{@ z@&+qDavXp-CGVI6Y3Mn)8`O?+TQHe}$BK;b={hnp5Qt*+zbg=lx$)^He1n+5vY3hq z^DgBIQg+lp%481i9P;!MaQLD%!UBd@sKJ-qF*`nA)qvwjK|BaSnjGI(%92Z@kftGW zz<{We9PE^Xm6w3gl_)_$Jz*n?HG~`JbZf*lVk)V4ESN){sX;lw>`rqaIjTNGj*7r{ zn}`Cju!X=g;=ZN;j<lpEDrV17yrKr|F)KQ+XhghS zAgSu|B;}S4a=a11(~u*F02*pC2X`o$gHZytLm^jGRf#AuJSfFmgDhENQcUq!@Z}^} zF5$$bF?Ujqn-e+YxXvauBqIlBG6%PY=a{lfh=mQg6x47RgVTi3hF_1bC^_&oB_p2g z=a$oVmkiC^8r{i7>VH@F);qh}^LHQbPR>|&8r{jOKd>4mlx^2Te43cT+I5S&lVh^? zh;z`3JzUSyAt7Ci=uo0wNp7O{Z%CEgDo4(h4>b#z+9SeA@(4&pT8_|R<&jEBqma~C zA=Rl**PxQZ8Ku#ffqtoR4vk)D&ada>>yU(IG*U#CV0luK;e(XqPCQ%(QY;bNW0mnr zPyS2UolF4BXb;F%K~Z>`2y)kur&u8b4Q(N%)lS9;jZ(YObCW~@m2`tD;X!1ihw4k1Er!GO%Y@TUlP6)YL;R_ zmqi0tuXYL@0eP$*RIrR3RHmv}CL0i$A;y2i=bK9f@G3N;vQ3Su#1%3gIDCg5_4jzF z##I|~rN0G+hzz-pp0J(RVOS7rLZF$E8YkTok;8RS1z4YnTrtlV3gean4T?1|74(70 z4+4D*#@!7OiMg6_LgaA;k}#_3=#T`m1@TFPNHnUT?iH&i1_LZOV^9$me4@^Y=3$3Z*Zr(wKBs3TDO;Ofe|MQit0bSHan01)$xQ>2apRR-&Ezb`>h4a7g4l%R*3f! zCGd@@q(5}W&>g3rURYRE^ys74vZ7%}cb~}u7LT~f@tvV33w*IhU~DfVM@o2_`?m0Pna)^oXEP4B%z+!7hd81#;q%IOi- zxDrp#NVNEL4xTzLPfTfV_aCL1?)1FN*%`2atxV@3%<)L)O(|zn@GZ7uU;({Y4KSRW zURr12efy$M&|G6@^26g7I+zU>piaA|{CsY~sO~j8)o^D@&;a$@527h%N>eeC8e58c zG(vJRlT;|lN(nnTR*p=Q93#sp0^ny-6w##hnW96Esa%uX z02M1EKvu|zWfI-2Ngjv#`Gc=Yr1p~jgeA4&u39o>n=V&~Vbr!oQ$sX5#}?vz-J#PJ z6+|u#WbljPN18BJ|53O{B=F`*Y-uj-y9*Wx43w0$QYv@a1FKAcP~)II;)4br?t!DG zbkj1|nFh!3!4_tw5~-=+k%hDXjoCp`jL8~Glwy((%Rg!2GK0dgh*NIBM|)y;&Xq+l zTgEGo&#wh?wA_ruT}9L|uV38z33*z8zRlC@)KsclH8YutrI47Pay7*mCc)Jv)RW7F zhh8zK#5DaC{Fy1bk5Liy8hZ~-GclWLW6i8dv8e$XE@HQcRPJ&Ig)YLYu2r?kH#OBG|GXp&+cXV`5=3$a=nLgBC^i83Ynnt2pel2q(r zppuW-Lr67&hw5no;&w!_2D1ZgcL)~z(IiicchKztgI2uK`=CKIKBrK&&xOk|U_1Nl z0xV-KOvINbmUAX4V>C&d5%0O*a|>YRa&}ZKp!e(0s6&iA5TKn;ZHQp`F0nY_1GZBV z@oCf6u3g)I#E2)JASTxLuIK3wbM!(Mq$s0wotoI0poi2L#rJ(N&wirpkR7iDMXJ=b+U^?~q3PuxUHKK+ag5 zl3-B~jiKZ5m7;B?@JUW4RhN+k7(-{B zjYxsMhO~Tnc{#0&&9;CYp#{hujiAs{$lQ26dxFU{itm6y;grI1=%H!ilgWwYiD~#Q zQ+qrALewaVla+@}ZiY9c^~Q}GoQvY`Q8sb*`|RAv0vv|Rr%XX@;9w*RG%XmctfcR{ zClAi-WJcU=1Um7P7wS&r)IaT-x|4UE+LRs|0{_-1(|C!t45F5+WpIvSgigcBQ*oF1 zifR8YRZQWbBVbEYr4AeQ;JYTx$9yRbktP*CI-yRQ0^ag{bn-WIF%d8kFcB~jFcB~j zFcB~j_-{dg?&kcv(|-%lW+5g5CITh`CITh`CITh`CITh`CITh`CITh`CITh`CIbIA z5wObA-Rzg_G^KE*ZM9UzrmMw@;~mRM>>h3S)?Uo=1$&8|QPpRd^?9~m3B)}UY$0ic zdXd_HhW$cmns&K+(2~4?(p~Jj=#Z6p?@Ak#x&D&Z9FIv~E9y#luXDC#xcXCNSdnX_ z4 z|ADvJmrFNAt~g~-x&7_H{P;Ab$dXqOTdZ6)wWu}kJn63JH8Te<$-6o5V0?x;WO81# z{$RFy#Sc18k8NdhHzpax&5`$;~l@K-#Pn^ z?WG?%HU)M^7kuhn;n-tgTh+btEa!>qdgGBqUzv02=)rQM)Ayw_yzY4A$L`}+IiDL{ zR{7KZ-@48DQSjWVNYSt}U4z%1Kl|s!!}hq&UpGa)M?QYF>kdn`dar!KJlAr|O|$PW zKJiS~U)Rl_{ZR3VU+24mi>e;(Km6(Zvqm>7kGfBqn?GaS1O8>slTXWEI{LrZZ`sM8 z=eMp~wf%Ry|6@h|9*eee<<3*C?{$LZ<=IcYIpTy~Q%1k3JhOR3Td$Di{rGdMzx_b3 zr!1dG*F19S)Lw1t_E)|b@%Jrok8!Yd^Zb7*IB$L5$i}L&#RWHwDfMq2d)lai`x;Kt z{y6-!y#+5doT0qpJbh)so(4tRy7N0TdLQ2~(f{g(GrYaOKjsI~*P~~=-dkONwfnF0 zzPqIN<6~ycey8%xK<~HL&)41?cIKWwF6$z9+uo7C?ekr0q;kjRv!?aA+InB)!=-2U z?enwsztKLPe)h&bYZ{)6e|E|_^ZI;beZl?t?(&lg2Q+M!zgS)Vc41)stNyQQ&;3c^ zP3zzF?=3&?oWgt8f8^iSe%>dA8yj}Z2Ud@|w{X{(gv4em74G5fUV|)#AQ;>?BWV=z>7M^0D7| zCTzH*ZNT<%4}0d=rd1cI<1g?$V7tC;s674)PpfTib;+8Fdp+?D3uE%s38VTB8QbJL zE@ctKkyYDYHER#-t8-T(NFz1qgyJ-ML&#Ek=*$Fs?g^`EoI8<;Tj;z0jj z*@w4X@Y=<1_t(aqR&(LV@6YZ3!J=|$($Y%`1{5qBS3i08CBGhU+PI6_E~%Vy)_@;u zoEo@v*_15<7TBv>rnX-?eZa%xZfLuF;-z~Bw2qtWxpL_belp;bjSB+Pc1$f9=pMJY z`l{hmA0POgjX$fIKJ$m822S0$wC36sKio2~c2P_9jGdQVIWRhI`Q#hr%RU*ne&bWV zn=ZM0{=g6I&+oc9e1&~bzI{VW&8jORgHGA_r^$1+UpZvZq;Y@Qb({0bM+Vg_dbfVw z@E?sB^z)4$N_R~7(W*gDFZ#T`cKWn)2E8$EPus%UX|X|j7qc?;?yB)cgEu+rgO61G zq3G;Q?pSDL^@T;3FD`0Zyrz0f(Vd%oQdqmHvgrQ2lRQ7(aMiY=HF;&y67A~BqIdE} z?)uL)SHDuUf3dPHvU2*w!9zApXu0c=>6-_iwW+fD?z^uUGkEIash)dkuUR|z_Pl9N zE}eeunS+0^_`1NaCS1F6@KcLt#qJ+|-HC&@jlZqzL3Z7Pga5f{LGwdz&geI!V0@^p zWyOr}kdwwQ@%_4XM*EQQc|V{0=-BIL4Y_LZuWBAExPJSPy1bV9Wm|8k9CBaYvijwb z8(tjp%%&%5R!q3@+e7|5{+Y>-vm5Ul^4a1Sd{3;J=^W}@ynfr0RWs)d{np|?)IU`) z^KV1X%iHE#weqI%L#O7w@#Hg?-1PX+Tk_uZJsY1jaOh7q?Pz{3Jgau-qvJnrd%k#9 z+t9U(ca^PKdGpwzZ;anly|(=3$A-r94%EN6VYaK-Sz&F7O_+T{vE1ltS+{xiOT{BA z3Y#}5HN%T1wGOD+xVmOh@pX=&f!0%M{$9M$A@AC}^p99KomA+K*RM}_j&WlQ4Z+)izvp4T-DBav2*f1|H8YyziIurY~QklPkJABe6nkQ(ZWA@pLTrqlq!&Jk~GudEy5-R$^ca{G$9GVh-nzlR$4G>1f}T7+d$6_p8&?eQLTB$^XD>-KvSjzlc6yY7HDQITj%?5*Qpdj7xJtuyz^M8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJA zM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJAM8HJg-v|M#EZxn1 z$xc%WSK3xfRcyLitT^7WoW$dCl>d^tGa{l=nJkTZXGYRfZM0Mp`aY@7;Q0 zdA?*>qWz}wIbeIKi9LxrUt&{KR?p< z9^3DwQzH{s^t;mbeyp5L+T4GbeL!Hs?2EVe|JYt3U9xpb`+$}9dG*!)ANC)3n|--- zQ{;+M29?|24$O~FQ;IBk1+m4-Ra1*v^Ujm*ie58w@RGcn0}sY$s6!^_MeBd-zwz#& z1M{}Uo>gZ(GW0EnCAMCxSylX?gd68qto}LGraD2<;U*hRym&=T~_(i{@=RI z`BCuPsz}kWGhKt%oj?2M#l!Zv&R;i0y+=NNwd)Q`wR*37!aUb<%T2TIFFx^1*I(Do zpZ!qriC^ctf{Usi?mztL{IfWF{vM0Aa^=obuJ3h%<>lE=y*c89UQeOCs>-JZ^81eTlaF21Yb@TjxDmZU_-^j+Qvc&~AjVbkS9(&rTg8Ldy(*8L7w7mr{ zHJqWm;yit2!JY<1+q&~RGkPE2Fwy_&hBLgqzdz;&(buDAyxv<~f3^Fs^S-;J_v2$` z&3>oy%s}tA*U#798+PWNJ}&DbciY~PzwPr~Yov0==Ch{tx!QVPS*M8n7g&P}o%Li7Ey0>uGn1sY;D;4hI4X!rpaOEra1Y7@@{hh$W?m1(< z_0A=uFLFOP_T)gX^3nU;FOB`quHHMxJnG(U8`a{zd+a1nY3PDLzw)u)cqVMPq;0_V zaSwau*rrt%spBv3JYc)NZKyo{3s0+UZgt6;ihDir4GUxP)Cr^d4jJ3zJ1#!qAAQG! zeqM8Y==>k|ow?zG*zo=re7o;2HY}4)e&m8}eb{qy9 zQ_X4R6Ib^eY1>-=9qq!Y{i6omO+<$nVeX|G}biY0}b53I-G`8dpDg_a(m`aN4+w+AgV_a@K$!Y@8anblH?G z0~Xk;Tc);OI(@*y<8ElXeBz~h2egix>$!624}LP>lZ^`k({@ZP8R#CjxcaK$Qy(Ar zosB=MnLhJ}qXtghxU}Zl6+hfEuy#>P^^BdDT{$p1Zu#UJ<;y-9xPIePzMC$&eEz@> z?a%MJIedkEP`-UbOU#)q%0~v(EPA(o-tZrd81(av zA4+#j_|d9CPcQnszIOVwa|XRJZcp37+G(*tdl$1Z_3ow}L}{h{dWP3~A| zW%Y$cmoF}ATfC-vOVOR1d{S7us_K+jgMhs2p-%-m?1TksDqd^30|u zYF13R@!LcGJpP%0Ei+7c+ zS$Xr=p>K@eQ@ytQ=EsJ{^A6O%xM8-d*jZt1iA|V&L$TcGYFW2=_DjVhD+-%8C^f^2 zC$$c!*|@r9QSo(-p@G&@YW`ll&>`>Iy!4iHi|=kdq2&+kmR}bCw&Ik)A7|VWFOD^q z#a`Y#XF~BCjc1m!199otrPbDYeXTE*eKD_gxpzdx%hH$r z+Bdu-8(-P><@N;;c{ zZ)|A}d7pNC_T>K1!kymd8$X}C z|I<3jyUr1BYp<*u;@#}{VsiV6x-##d8o!Jku+>#~Uv+%tIWV>Ea_^gtuO}Z^R(G@a zoz^||2jX@0-nNRp%?C%;-R1p9<2Nk_=hd}%KdRW*d~jvmO7Eww`)dyFtb4&5ceIx! zit1kSe(7l6l^9$1n)j>L1I>wEpNF*@=v^-+jC*>7mII|Kr3wLw*HZ`mjMdCiA?MBX6cN*Qv z|HmOoFrT)?f1HH2qGQrcJLqnYfJt{PtsD8j04Bw+oD57-?W8+Qx{?2%XeWjLu^eZU fd~8IMflUf?Wrqb>h_FETQ-{#Q>|ckhb*sxtn(_u|Ei7m=9}8Ic*2$uvBC>)^rOiMpxP zizhAnV)9F!7hmiCe=oipsosCT_m}Fuy+XaI)wEw5 zOg_NNjReJTjl&%Xxzn>bEz6gd7;sZX8!2mkM<-cDPT~LQcEmU-k@{GBoANf2wIxGrl-Ea<$&`wF|MJUW%PhTr`5Ij3cx{1e z3pfjOt_^W*0b0N}gv-Bed;9;S_v5@BuKjBZTw6dXQyRhhW%R|;zxIAIEMUuGdjC>O z9hSLy|57tAGwbwR!244==&2YZ4&z+Lr(q<~pyWbi>0MV(C){%EVx@0c*((rtZJ+HM z-FI=fFZrV^aa&f|mYj`ksW;}lX57dEOK$}mr9Ll{fq+YIL+4d`b^7jV@NqBQdK_fx&W=Rjb*ND z66@$2XEejONbVwBGub+NllQ{H`obh{QSdTLt;Q$NfE;YE4A)Sn^Py>a&3PUAC|ST> zxw6+Q*{-|_t`)epz_kUgEii3?z)r_6KlY)xHJHmUca>v370>MH!#ydQX+NHb#V1(%SD$^teUEH%DQP|Car^J zFioH4MLW?`iMJc^L!(tvgKf0~WY%}j4?6BzAnlJBrv_cuNIMQA?PC)aSE>JDqOmM2 zTV1A}${P=SJBT^D=OE@1z-M>jKBY8B%ou}PART2>z>r~<1H@3br)BP^g>Pd|6=5Rj-|!eS=LAW=y>=@*O-fJe@S z?X011Weqr934{iyfFVX;nnx4$jw_yZ>njSboMVbwGK`3w#ayn)W zojm6Q)Oe{uQWT2jr%#C4BGfv%u%5>$#x}9YG0`?LMXM+ZG&9DLyR|Ldq_-E)&y%-H zYYH8thT$mCMNpV_m>X!oD}I=(@j5zFZUa91vXk=Jm*4!jdVEvWagX=@V){08_(_)W z`fsf&{a<`#9eq{m&1kQEvzl>u@o|}C$0yBy?RVGFJ?qo^vC{IR1TBXtLpgju>t`hF z9Nh>b*qVt0HID&uDO}v#%F-;am=LbZzq53*)umkDZ&}Cjp)~q*AJH4hFIbCa`jE49 zA3vWTNOEVs?HkF-)n=8BHE~@fu9C8vW>=F*l~KyYX|P-Y=7~=@2!n4CiHsctrybqZ z4Z}{yI^-ogypKJFzwk6Yx6~zy%*#!rKQhbS8v7E@QnnlqkH=}IklRIqJKJV+h#bNW zIR3D+)a}L>pW`mmL(H${t>S_;P-d<~9@A=}0y1@c!L`7CuLqyCc8FkvD?xTNI`;G{ z@yE8e_2+_f1(`nsD;A825O$ZO3VEr4(M6w$>q?XMIYPNeNHvg|#s-o;Vl3q()2!BPiCekm&kCN8cd^uB0cbqj>1|li z3zBb+ifw57Dybf@S1GN8OclSlKra}<-1GK!t#TfJ5t>ke%gJe(_MvL`lX+ri#X_8U z?x)9e(F)qCCC@XPQhK4ru--IL7ZjFOu;6LlrJaiE-Uegq3o87z*lPjtSACVE|bo`b120kiP#qt_)}%dk#BO~1tER%g1Eklu6*chCkrjcSRL6==E%Ec|z;G7^{Ziu_K z9rx9sh0NjSrsh3=h&CQqVun&Y7ndqP5HI-!d;!zFjp_UrE`L)7*2>0 z7ichRY8kZ93OGa8LVR0bX=?r|DEkTyV{snD-546Q2koSNjJFV5-B$ZJuh`EWK;|gs zGO%hKvsvoS$)Md$Y&Xem-?VIZ`GsqlsHJ$Uo6fSO)JPRaAdW^s9-=OWXbw3p48ve) zil-yYu9%&Tmr@@qb^iv!@ZT&^+we{&r|RzB-Mv{^j|3)@M~^0xT`XwDI=abQZ#Dhd z6i*wc2A}Bd&LyAKfO~^d1Mklz_y{8&ojy9fapU)YzgdKxohMJ6{U@+J?LS=y4%19= zp72|fx72D4oaLK!aGv}&NL$YKnb0bwzZ9Bp*c<8v@s*0b|3!Dj+(6vU%UPP{L~`hHzzmM-d+94 zXD839-Y53%F5=k^Zh;1t*WmV~t@J1LCwC@z8+La)8fa13-Ig*JQ5ruFb;x7rSJD?zWMHi)(nUKE_ocoEM9 z;Yu6UMP;X_-FUf(GaoJd;tNt>&gzX3>gHM2`nxo)N;glxEzanv1r3oh=g{1$1#h>p zJTi)(>r1c8aMm&<|MKeW;k@cCmx>W3epBPh<;-WI_Oz?pn-o(dpP0A5$!b zOrfQsPclQrAaXsdo=x>l+*)glH7l0j*cd#s*{x{1v#iVFd^*EV!}Y>cjiSzi^UwqIC!Hr~8BQD1ws-B$ojbp>YW{~}&TfYGtg?VTJ1N@zKDKS-eM&R*NM#1yK$u|Q&ry2BI zbamtE$XseqwQQi;^~_Z`C*}eXT4ZOD!I_}PWkws>V7p3jyr{Btn|zyFp-63z3gQ5To>T`ER8a2A2wY;_7Is(i?!3yOO44>td4Pc#@o(% z{aIG#SPq?8HNJYbt;?Les~I57sX@e1kd3h(eIe~qkUBAm&1M_srDR=ZqLq$2c!Y3u zfU8lCh3HqOfYQu8$gWZaqhqCUVZ1sRN!C}X!3nR>`PEr~IX}MI?5{reJ-`)fv1llF zsVW`xF7xxk3yb#J-VNVbTu8qZY_H(j94M;e78UNT>R8e0wlwdWbj!q2jEFUXR^GtBvGueqFO;Y`R9 zK^NGEc4Ox`jn^T}I)<~-)0qU9-@rMnYuX<}wq|rjzwJiZK(bOK*UYX2vyys#;`r&F zpPWDAT0+$t)|jGBv8@nBY$GGWbdS$c@YV)efolkD!Jo^~XG@*tW34h%nd5A!x58~L zCZh5C2?o9~GLp7%RYuSuROn@wel|49YZ(@YfvbV+sujScIl7vn*ST(I2E3Mc_Rt^y z(jQ&zPC@FeZnOJ!_7Z&5Q|v#O?1t}N#=PS)OYJ{U&>(zw8T~Tw`qKJmmritZQ72OAEh&v}Lf6$73nPq@*~c#I-rrsl7zt@>*Y%Kr$~0*ds`^ zVBI%iJ~oYM@-7LRCHM-R=VPO?aT?`nY_h3_SMgkIfQ!{pQFw=Q0JmMJ8qT7|ZID-wAIa>U49$s3qm%4p zyVgI6cuC$^u&wyP1`9+*;;T`01w@m!@tV^Y4_ z#9xVBnBwe6FKtm15NQ~#-(ujVdYRtiEsx%BQ1W^>1^DseJ0HyNQL|pcc{#93cjCv3 z>9?;!gWbu&{$%n>xVw9Bu&aLOjq{dhar#wrs=Ml7|CR8SkY6F&RsS$qEaAM^MH;l# ztq!gUSr1=W5c!FIX6ySh{URi1rS|^3D5LY<3olF#zVl;s!Sm0)3*4JmK)vw7L$&vZ z7py_#uEWgUF3>@#Cm&p>26A08E4z*Pzq?QkW=f5|fc!>yqCUdyQG?Dy-tKV$i7j*@ zm@_CT2wnhq>B~f~qc2Oh9lS*&;_srN>eoq;@(Xvk$hGhgm8q zX6ab>u1s`s&~!QvnF^FHsVQR44&;AYsO?-!Fp^IlZ-lmIfrileU;$efsr!0&(akxC z%W|j+e~^KFiryCbxJd`g`5-A0)hY%_Vw2=>Jh1vG!G*|T=#sQ~b(*6ePSekqJ2OzN zgvCsfQKAx^qy^&xprBcS0aQgt&Pg~+UtWV}(JY__Do6qhn(-a;Of-o2P5T0s!Sg}r zh$r~I`7}K^OGZn04Qd((p-3Zq#k`JVA-j_JK**rut9DJgL!Z~RsA;DHd^Yzc+vrOhg6S%gaZU0!Q`}8jvo;>;f4bClH9qjCU|HkR*g9lfq z!PV#d+rPc@o|?F?5?zfpFTcF=-h&6bOTTV?@mgV?kvkBw8*q7N;#BAKd*A!?r_*=x zC;JEcyQh;UPcFX9$Uzpu`JCGAS_8GaQw>@@{epaBD#5S5diU;Ezxti;Ob#adr;{K3 zs3KfgV5$>{T<+c5+xzNQ@y`2!+E=@`WF^FfWxiIhUc<4Du2h3#T^Dy9eT^<#AYa~@ zw@g{PjBsiA*#>!O8DD2~ZGpuW=nP{J{N;h+%YgZOFwcA`XNNE8Wt8$dk82BDTj1IP z*A}?8z_kTFQWjXZQ0yaBn2)BiWp~hAnf9YA%h_jKEjI9o51qfRJH3w1msPLf+5*=W zxVFHx1+Fb{ZGmeGTwCCyY=QMXzAcyT%Et*?F3+{NYYUvi0t=s9xc#kvvfXpo)Fh)zC_VDK-NGGWG)-O_ zGbQEHdo4>f+CD*VU*z9$iEIt-+3Ur%iw|e5_$SU6SMHTf=R)2aTbW~%ctwS7s&K_& z>+)}v{`R+Tn|)ouzcW+e%Q^_QUl+X1EP`?Z;_CZ#i=kO~K#$bOiB^A)xuo{@lwkpguf$8(1 z8Jn}tilRIn$;ty8+eqvmWjIt*n+DT{!D(5G6ZJ4^#+UsU6CD$TlLu-kZ8PbcaGkEm<@5olKLPLn zyMwJN=I*223;sw1z5uaW1GRgRJIKAJZs6xt2E0b6ks_7MFZQwO^Gk<0+moQBB zCU={hwz%~D+V<3p2Ku^ZYr*0E1 z&nzh=VI5*rhq&_qMx_K`su1zEJQ%JZs6yKato!EC2>pR!k9TNWXE)PlYIKo|yOB0{ zC1o@2#W5Bn`I`VT#5^rY!BM@l98xTRW(kzW zCtXzsxhqSJ1IaxAj%f|zeg-IXl5}(B4I<>=_g*Cg0TmFl8CY(JQ-SN^Q-5p{qik;R zYD(Nw@WTKjJp3d}#~+Eu#?DZwq9eI8O!!O0l$SSSBBt3{g%Z?Xmp|c6!cGtc$VBte zCmaD=x-O%ULyF6`jE-V+y+m0p4W=@P;+jCKR-h9WBSuw3kv4f`3|a`g&VlaP47r-f zD1v-vHwHrFM+W@r5Z9H8hDv1^T0~6m`oT1-hh|u$AS+j76)0t08`6$DMob6%$|CTf z3V2^478I+%Fb!IwQ=BzJlRJtHdE2TxgatY%R~94QEwzlDkJ6yE(6Sy(^^D8cex`Dx zyd}V86Ke)!niH~EFA{{Jzw>f91U7sESn_t_!yJC7wTnSM_8KFm zUzjxL_GqiX(-!&t;wZ-#<9aBHnb1FX2U z=X_N*#+rp;-7hB2E)RDDEN$U-&;nRRjtq3-a+O4;6L|J7y(!P&K&P zF+NuPqo4!2>SJF)`hbzhgJ|&NWthIf>65EqJXVn|Ml14`G^7XPZh^D6qsGVz@!oL@ z384VuD$F%Fa#SzkL7`f?O2>%TAg4&L!eF<#NSQRpQ-MH@1{JpBTuWTY$Emc%_u)JJ5keiD zx%eWPBQ9`@Oa(E7!^~j9Ntdw0fICsp2@Ux3K;v#ORz-;BmK#+B8fPd7WD>|JU%N0} zR9rg3Ww|KE{n2m)#oc(=5GIIA5GFb72~SFKJw@9wA>-gX}}m%Qc5(Sj?{oa-X`qs??0^elxk{_d@Z@% zWLy;f6&3)+XzlDOh9BayWegL?_@^55QyAh?%zW2&mb>IrJQjc7PA2cS z?@dl`jGz6J_O14-i*jw^mKl;I;74)IzXwJ(YWKQ-Ti~z$=$oR~1GKn{wyLUhRY1nA;-p;|n-rel&25DIkXYHd$InH1FRsb|mw{A^q z@JHDnWwS|MdTDPD8mO~rfGgx$4cwSkBSS5tTa89V7~#kn0G>bZyQ?Mf#AM}qQgSc_ z{U+#$F^-xYhoh6zflK9M7vcwJlqwpR+ST*93rC+2-I0PV&%*XbJ-69Zlc!xpIxvo9 zETUoE+3Z{h77SxNNOwm=m);mVqXak>1D7>$6Xsa@h~tG!_^!2z(C(W=j(-&z0Qgp`XLaRe&S`)k4y;$~+bHsDd0G$jD_uew_ONbdSWzfntwVuT4=wv{WOf}H|bv7vD?%4_=K0gGd zt|-XC6s1n>$c0WUhv!p{p2Tj8PX2}U4WzIu< zB)r1itZPSyOK`HP;t&)9*;L9#+`K=?PL`g9c?f2vtml>EP6s>TsA09*+&7K$|HB zZl^E|VuKvT&Y^a$f&t4vP0va1IlAhOB##9gUP7Y5v4HOPB5T1`ZYQv@>=c%bTB*(x zWv#_9DdC*-EZt7gA$~o_Ob%8bCa7Y`p)){o)x*AA@RI>{r)nqtB9?u+3b#{Ocb48Q z$j_~+3jD2JBq3~awAM&KZ3Y1~P=VnLbTBT)*r;rPc87SuHq@Y>klqq{=I8+!njA?j zq2!pRi-e>pL7EdX6e!Ygpfu{uxOh&_Al{@ij)Ra({;N5_Vww`NslCPuia~vpIn3@9 z7PG!q(33%n0#b< zI03CSxLhseFiJy0yQbU3$X;W04j7GhOo5%i42u#4T6PKx-A-WvE|<9))pRpWXUoY0 zoJ=*xXqLn6HMSxLo%0qn4#)g z<{&@ADI?C84RA#0<#*BD>8hcp14nSK>(eqEsj-bLQrJ+n@e6P;J%1%=A8=*yG4T!N z?hHa{q$BuI-(ZHvD3fy8Abr)-s?+PF5uW@ysWRF@5++m1YNM=BkQxjY^F9`Mm;w|6 z8(7s~B~_&v3LoLegs6fRMj^PrqC&c2c3v`_aVW;s6GPL`iAL;^x|S4JWurk*M9dIj zv7foZvVl29R3mU0UKZBEZoZWwc@^g;;0KRB8O!ZUea3 zRc6?ZVpM{TFdQOSI=Q$Gp@g4U0Uc}jI5}ATD%FtG(?DhD4r^u{N1!va2)pKhGlt`! zUHSuM5y~J0IjLbgL)Jr-UgHJ}9z!=aAQD7vOGap00_JW)j&WnDXBDy5StRt(=_6Yc zU_e0&gv3x^>cXRfHZ;f(Lk1R})vDdbj!POv8U!X%xds+`4Z{q+ma9e%iFq3`hv+B_ zsaofd5^TiztoFzan~k!0j1<67x@%6T)y@zR0b(5oQfoX(hh|AMfuYKE~YrcpRz?InitFyHqBfG30gE7z7}rmlI+t-Jj$aXPc{{(ZvRA`Ag7=m z7_FgN`8z?jks<4j)8{-O7Sc-Cp0piqQerb-_?hd_!BCZ{mSGbu#) zi+lh=4PAR|#}<}7j>nMKLr0ibSIn}vtcVBAkR3if&i)yMQpT;IfYmtqy*RcQP4XJT zG3ycNeQrmr{_1SL!42TNI)o4u|!#e?XwA)0GPq zlrsucAbi-DcuQFr4YPeig`L83cB47VhXJw7=5;Xf zp2J)rvY^jrA@Bq8=VJr8#Q>g-F5l09^1t26$4+k4> z5B0=7-@qg-0eH+93o9xT47}57knlXN-WsmxV|LG)?uqKPd#c|@<2eK`YdvG4M!a3d z#Rmzk6|T!19yS>2<9fsgGHi%!C1`S1p{cXIprw>>DCzp3NT6uPD(D@lJW60_&Dp)2 z%sIv*Mtq$!IpVlg6OZa(J)@nfNPO?40Zdz4H-|Lb$Z*}&Y6#gCgwmvN=0?&CfPZ|` z5#irw2)<-7>#LeCO~_crm3qt=;IX*yZ>pCiezUy$RGh%RS@S94A7Q%W_U*krO!;B{ z2vdBg6ZPEl`|6({{AV~w-`U&SBaQLh)6>)Eo`3#%W-yt&Yw0hBeVJHz@L+EbQ*#S8 z!TOtty5UoL4g6$ZQv>o)1JbAg!E5lYU9EvIV+My)gVWQ4qh+_=$IyEqW8KXC5>Q}X2yS3YXMrFO%^sf3G`q;1OXX%4a==5`U5k(@UKKD5k2J?%5JNftO z&aF4Zs=K%DeulL0-D%qqw;bO7y-)6W%LS z{?>k}LHMrcTkk*IaGITxvh3U6Zc4nX{^a50NlWdY?$6D&Hu+YE_xJy{^DmdR&6(Ny z_y6z7*PGJz)E_?l!FO*)(BRHKW+|Jr&BIQEf4MNht-%HoHih)K~wLYE&u3#`UL z&_W%<&%-u4SP|kw&Tt5qWj3iAlT#oqea-HdiURaew1!~~lA>FIemD>OSk5jEuV=uX zqH1f6ocMD#8H%q}08F4_3#<(kNB;pR)Pl!i&`5(}-6&G=xUV_n3^5>T1={E#I}9Ap z7+@8`UG(E4r45Etx~0)d%8L?Zgd)Dj2_A%XAkhGQ77{h{7qiQKUubj;6+^kz7+ohM zF~Fg(J!Dr=6QA)w=0kELOxakS#HhtDhc77t*B&Vj9O!4tOdV8brsNz2BngyC`zUqj zsR1e?Yoo?6#z96p?R9j@BvcrSn^HbOu|uE8rq&0&IHO!KQKeC6@EFD_jnyIe!3b6n zoGZb3M+>Tr8dlwyZNin8_RlbOM7`qB&WfN>a03z-=0Jr-M*XAIY(F7>oDqwJ!MVQP zT$<9==-?tBw6so=!owIH+Z-dx76F@T9PB!K(9{7(>c+CLdyc4^e;kJ?GK_FCVDO0c zljFTWr?4(H0BZ#$Dja7(g+_G}JV~eB6-Eu2QN5@;G>BLn&?HBzkgOS}R)9=gNz><& zQi8F{=1c`QKs-kXo-N?a0F(p32@{w;g@EV;Vss}oI>q+iX`;}X)oAyo&jyM*=eQDJ z=rkY)sR>4*X`%ORjDg|`3z~HVpIeHvu4Z6}c!V0~cqc*aVSuj_gk#_-*y5m?ghX5? z1TK;?+{|7$#@$iZs4-}VY6urHZ6HY(I(c!#%7e-oJMfr#G@~}-#mN|Bn2`cK9fX`z z7y7u!#wP>BBpy;GMsje|Fds*3EBgPuH4IabjKI$%^k z#F>b-ygVaumW@@Of)o6Vmuq%;(z>b`!Vj{OAjDcu=H#X_!ypS;X=rh2PV^My3j2KH z8BVW{Q94A9a*WcE1yY;iC_oY5;}L1z10E`yALU06lp=QulTOl%)<Ac5{jNC$s3a6aNA7s`1P0|k%asADUmD!2>;e1M&+ zNUMkyh;vj^#vDAZ$J*)^sEAW?(|2h&)&_unuk_Iz1(+fc3ZgfLk8i%o>(?ufVN`_F zV_{n6oM!hhB>ojymn*~Lz1$6x#m6Yo`=`p`;mhE@#A6W8)xCQ=vWoX%cI#9=RE&oJ zB~0E(@7&(Medq80{pX*j@9~viX#n~28UQCbkyg^-*BU?_0ct=H4WP?ut--wQn>%2~gn_Ey`iq*WNqVufS7-6oYQnI~9v ziZ!%|5GIqI*8Ze@uYK?jMo<3LKT+>gwsCY0$Y*~FRpM3*d*5@c*MLK#-Q8LPR>)qy z_vBXg>Vz8L(abwOXYXT;t{XF_SgX5(G4cR$uG#%xS|8i#$e7u!<*mog-JLG*<=7f` zkeaglXWcaK{U`cARiA6KPaEW|pTD8Lp)j`o5-*~F`AYcJ{a@YB)Z4HP9yZ`n%cnm* z*|h_#d!F3>#FxMP_S?Jr2a}gxs%!Ow{b%=2dy_x$C7AXp;q~vmF6(S3um#pJ&slvs zg;KBX-`mgj-o9IFaH{OU4sL(<_U$i!Im@7ddg&!rv4ijKNBgR`yF!D#(>-C`RD-{r z{7{`vdXVUBz%{;DI{t7MyKYbR{%{W)kWY3eU;eV!;MK`3Tx(VV_U+!|lLxeh{U=Xq z>n%(3%%p?nIIY3XSDt*Zi(R+(oCdfK)EaQ*^lA<6-J=HKyPImDaoNJv)#55>hrPIs z&X?7AO5U?axT?jVq8p}_!J!Rr!%Pq=r9q&NE`S3Rgf~YBBalM^(@u@!@KQkdkuB3} z&iDa(D#8mJy%2YF5i6H?h0A>7M;!P?hI3q)V=4lv%VE5L#BNj*jC8DkaYa%VKUhgz zgU~FH!e3hYi}ILF`9-teh55BZg*SBa79Vwr76N%dp$~!CMmz3wvC%cKUObV_Fj6tPT#x zLzf4~kq$$qY0wfKIiz*oA~%{sCT1!+6Pe0uI^hhbM4KE_Nf$9YTwz=pGs^)yErIKS zDs-C6rLn}gdCsDpm3A<&81V!#I<67uuqP^%m?JEAhcLB)+Av!;geqv`e3%ht687o9 zrO*^Z4G$TIT4zQnjUVGk8{vREjxxwYYj95?%(%W}k&bD8dQ*ULe>X$ssD6~4fZ1pq z3eNzVC!CN-G<4|ZK&2=xVwDAessUIiP=fJ+1wM20FneM0_&GnA!^|UA^PqeVupp3} zDa#b3!AZbjl2Iv#MS)7v9KG-c{Yb`PpdSjoFX^Tv9C-({GCc zZj+8y4w|P!g*lE0DViLsRWO=48IvPTFbMJj@nDQKxf<5b@GQc#6+#XA5YHwKL!sxO zlpIY7;K+lh<^ah*3IbpC0`=Tqy{^;I1i9VkF#hDBPKaL;I-r zJ+Hq3`nU@4BXDtw03O_K11g1@!m3=G$Yx))!dtllRneyi`2PF`NvQ$tiVnuGO@2O? zB$B3}oFS2*%I8D`>*CIuZd~E=b8cSp;C4>N(ye_#HXRn1KLGQLBjk>^swkgvOuA4% zjUeLG!kf3OEVdP2wPXU1#hr|y5+Wa1;s=y(wWoFlXc)X1IN;o*MfcCgOZ1F~C)ZSj zK)nnDGoWYUji`ont1U5MMi&s#0a|_x&wwdQJyrISClJ3hkWXh8Y9& zEh4N9`7s-Rkcll~CWDfLR9JK~@Y+X8aaibPUp$f;IWf{SS4#A0$Ye$JmFy(?;_%eu zg9s14E@Rcz(5m8dbPh>fW*89yVuzk`x#F5529-BHewjNK-+Y@1EFw*%$0Rnb%W*o- z4BA2CkW8Hxh*jV;Bc9i`n98pOCk2&kS<&kt1d9ff=C8-lGGOx9i97$3f^s5>%x}&jRc_`g}5ENSA zrmDbXXVe*Mr~!CJK(7P~lPEmJbU+H?8@6z8VyVEUz*c6Fgm5z|0n)l1-3U71HQi#u~T$?M{ z@BhO8dE>!vKKRWQ`D~5ENe>>=z`2m${>_)KP=jfGt|T`3_6K+W-rZ_8=K^(rwHMAl zO~Pkef3I~(8Z0c|YnaV)>s|f2*PH5R7IMxbZz?zAy3r-)BInGsU$AQU&L#g`TUB=FJVa8Uw5rinz_&Xcf+x6gLHMltP$*lT?19O4oASlz>YB zS4;Pr{0?Fh`5^uB29?w}QwQfmP&h5IWy*P;8pELvI|dDIRBrI@@gQn-fYVnVYXw;wiAmhR$dg^}K^2{9A{F*r0lP4@D@LX=HN;VYMaTwp9auDf z95swlO<1m0BbJ1wT9skhF?qS%bhQ*33{ToBgvOb)z$*Blq6rOHan!K1YHAi2vdT=O zTr_vcDdiPep#hucocvl(mvYLT5rYM9!&(N2(@n`p+Qoff>qZA+2gf0@hc1mI+{9t_ zQ|)hvTA7{QOGOfzWGn$6<7F@@F>_`>Q8oa7ejxb<{00)+UTVgoYaDgf@)@OaDWGRt z<+JtGsaJ6F8!?1HJQo%O7Nv`S;SMemnibsFEfXo@^P~1N7b;J>7{3)7ys+5TwRFob z|0Wwx&ejPVq1n@-L23kjGtAiZ8+J$PsdyvFwu3%b!=~UPk_pb5sra^Y_VTnmPC=zd zx?_yT(zjTr+KeMS8=oORO_YsKE3T16tz$~o%Q&`NY~$V)@VK-27A3G7B%$G*@o8{( zN;28;2ou4ob&gudaZd`XPSr|P9+y<_OLUlUrR>$hlPBlPe}8g539Z(`QRf+y+E;k@ z^BOj@02gvK$I?F4ef1yb=QtBovj^y9F7$8T{=_Fp_}u5-NU-AfJD%zEp1OD5mf&Tr z_HSdcE;*=yQndzq=+|G42CtSSeQ)yKBmHx)|4viigM){%9=M6ye)!dU?kmna`#Wk@ z1AI^VTw~?!YkO{qjr*DwVZ0~tWA$Sk>`WLOqw>h`npkKxW=kVXS8CiQK@iYzHTt`t z$&WGOI3UGKFbMJBjXlO8x2H9?C<|^LbAVeTa2*>Zn@m`_mXAWKY|gr43rVK!U5ZAP#32UCbYEgXLS(r6nXIoTmsHkfs4c?Qfbz@ zmc{|~N=U?auE(wM(~`~tHdQl$BQP75%3?AVkkN54qQ}n%`4Tv^bA3sCNt?8(aM^hI z3K1Z~L`x5u=q%;hUM)x{z#`Vgbmsz4R>g-Z$kCh?)DQ-|xRijBI1A^>ga&wnh35)} zOhJNt;mi?EO&2dGbZlT1X103FQ3DOI909m)8Ir5WBFaPRKZDOhM$OSk9*d<~5OnY% z9eSk=$`TR-RL@AjISh9-Ky`31H~eyW_CS)x;lKMz%?0FIks+vY7|>B*-Rlg|g_SY_ zo8th85-!+uoy5HC7ji9r1~LzqGMA8ZY$IF-hZU*{neh+?ECIV*_~1G}TFzi(Vq52~ znAEN#1xAW$aD^ITR(Q)SWL3ej;3_XIAS?xE7$=8eiMOQO;__C^F`9 z&=u(Byj-B!B4cRKW2ywJ^h&b#T$Vlt?imoe6fw|FABDG5jWQ69_gV35Mw*e+*&yD4 z46C4{aZjIMlam(K=%0*rFq?maeLmRXd$3uU&Q_hZmdsWaqocNb$|>=Qh2SILKpf14 z9pGK!QEOxe?dqPUE4CE@W@V2ozEu^Q2AhRNL4eoAYnFxO6$pc>ytWYx@pdYA3q9-X%@Lh!fRTZRx290&WV-4UUqnHzThhV2<;}6tq&hT+7Dd39|^xOwZWS0Xa&6FvdP{`zph7p?_jSl%k?QF#)ctG{>Q)PX0 zNpSgW!Hd;ltAB=;U;&iIinF*QiqA0La)w_V9~ocb(6oqyl7;{uK23=l2lt2zcqmkf40YlZs$0*EE ztgvioz42Z0rW=%1(7@ev;m0>!!+Y#W&6)B0Z8_q{{g1>|+g-0y&>zo`1<9xI8 z#{K*E$M^Xr=V>D;JLGkz94z+{EfGQR0MKCGFvv}BW`QrSdJPz^VO9gYt_cNn$?)L6 zdm0U%e1HEb^saTfn9$A+zD~8jv#0i-$L>FPZ`cD&&>SD~%$4}>Pk!IAlojIn*ZO!- z67MPAy*tY|r9qRpAOq3h>yxkN!nc5-nSL(3&w2d?8dUGe%I-L6uJ|n}^auP5xfhhZ zraqIiC-)z!Uy$bt_fOR=W{9 z-;?&b%=xDe(-L=U@^$_7Ir;_&2wx#a=e)vf;_Y#?vX}?JY=f(3H!I$%DjP zVNZow3}{OeYa9tt^6npWWf-n@9P}MfI+ClPj8g|0Jj?e!iR1@-jj|DP63~d97^C?0 zDqKGJA!i7Pm@`6CkV?$Cbp}%`GZ7R+Ngsz47-DG?RJ7# zS*W!P^fE$;Z;9`+hZqV9eUcmjzHUk1DPt4|G$~y4<*15O6xBvo4lW^=!erzT6y8-$ zgg^&2gXw58htq=7Wvq+#n*qbaLscaTLwtp@TDYzennn$>92Cov@SsjC42qPx5F&&C zFDm-^Q|<|QRAR*w2QOHsaoHdtC&##JWBYea&a4;Qg73IKa z`9mtgE?p(i1;9{4oKtTHt-O`1jIZ6#LRccK8$$$$YBhv)N_^#!GLLoS2$sqjjL9`* zr~>O7WdjqJ;ba&OVOSBJ;Jxo8H7r|z{yqoYggngQ^0acxS%{O;cgaa`evXh!L3ixV zaaXvqQ3CB@4r5B4k4qsTzJOF-CL~SUrxagK@vz3BDs#Sn5T~7-qN6G9=?I%LXXC(x z!8QYd(qswb$wh#V%Z+1xdI^PaB_okA&f$O`HHilV+8|beD|r=N88}k0r&|PYFf#x? zC}=f^cp<)h%=WCU;48->-o|lkl{FQXPhLc^>dbABW`Z2aCCn1wUA%5-@il@l#_P9y z@)5@d#lz>j90OTPK(36g2MN}A|x(iYp)DCMC}H0_VAfHo9&P--;j#UW}|?9 zU`!DlkB%}#co-aVOU+StBQWHM@zdZr2~(gEGY-R(ZT^IFG#adh@qK})L2J#4rapuS zIpFmhKuUE~HoY9fqT9+L!`8?Rgr;GHRv>kF2;T#P#z!F1aa4%uKqb+-I#rRyMF68}J&KU2?iBF| zCB*DMz9U{Hja;)q3b`jpdvK_dVa8*LHUK_W3CU+1bCAIN3YYk9e2ixMzjyzBE${51-#Qt%ELf zP7NlnPTrmsDRF}f5CfLAZ%lbU60>Dks=-t~#=LavoJF0Im)i*4jmWZm_CJ zWJt6@)Q_HMLa{{2!tSn1ivCPnkPW?=j%NxFf^?2u=^a8l4-~mPkHEp?QzFDRIqtZa z(}AEKIt0)_gKY`ONQPr8f{5w{v#j$U{~@~}8#3UyLBfoC;E>WX0$~Go0375{J}V1` z+r9(ITlNQ4E{*8Hj;PzsiL<}_5mQ0nG_K+c0%2An-50jXh@=Z$gkfkez&Ym>?Vble zo(0hOu9eB8a0b!Hg4Z%`#0AO$mlb2H4@6Kg5phv3VlwM<7No-dDT_hsvnnHPnmEQF zLiZKl&y^U?Uaetk9A!2*VN1>!DB_)MHB>~9TqfWLD0+&`je;H9lqpdqLdaAIKhtbE zVFYm$3c$Jy`66i#SqCbzSUo^XpiCOtu%>fWUsn zciTLxEq8z!vP1x+_O{c?;)%sLs^wVl5};6J7Y0kvSOCEbUj$Q8SsJYb1K-J&v{fFS zK8kY$v0^BUx+#p4Ax6V!mCAtxuqnp4P!tlJeZf-$r6)l;O!(YrF_Z-}C6$|Har9=; zpyUU7hIoua3y;OHSL025@&GiRJPuhTizCL-$9K$Go@fLI+CjBkJ|?_CKXNR9oLObr zQ7;$|jr7~|F)WBIF&CF2!7v}1AWKaWwIh?drz*^dW7rJtEO63U1{$-3C2>r7T9S@z z7a@xF+D244p`!L zVzB9tP~aSzz8bU-eYEn?jts6YWqnuZrGcT+xXKMl#~w?0#(Vf!^-{q^z-m60?c|7O zDhO}@N9h`;PjswMW3$j3>d46HI!jp?A9IkdIa#kpNxwu3hv@L~bp=m!tm z+@WgQNFQoR(NdbU*r54O10Ir;P0@nDV>N)=kj?h7T!L8zIE;jhikQ~Ni~b-vfkl`v z@gNLvxUe9clLu%x^6ft+Uw=~OD(q!oGl4$pus}hMrV#Pd&LtoohPdMw@d+++iAVF~ z=c`w=*(^N1sXjZ4TN&rb_x{VA`S$q|quz8oHr-P)C!PWWj{AyVjVArxt;SqA;9#yxw{Y-Xi~u-cwu&jr$1a^1JD07{w-YMW?=VWHTr(2s6qH{lLHTX>e^}&E-ais`giX) zg@5qD2N$YA*v!m@TMz$56Zy_N^WR&KENd?p+bD>d?h)xOT z9|b~jwTsZjIl{AmpvASh43!w>?az(s8LMH48SdfQK^~?Z1B(V|d0Tefji8pnkkA;2 zTuhNZ!$Zm;9Y7*3nXv#>w>9+>z!*&iSKXmRohwxnX~iGbEa;8~o{1fBuC|LACO5tl zoH3YgC69J2&N{THDG?nE$uZQzzL?+%td3N_A+pk^K()c1S^*b zgAB0|Y~#G3N@6znO+azblXnnrND3>TfaJ?xe!d4wo=%FgJ(RT z!G1ev=G_Rp4n-{Qhuqr()tAGjR1?~Pe#Y%<0Gzj z4|5c%RYWJ_1k>Qbh!@FVR0QJ;`z>|Bft`8rjLERHK~e;1%ZycAl; z(K1dutb-`SU_{$2wN|w)9CcJ=ar+pdju}1EKgjw?zXq+U6&zO-;w9 zu||!le$wjMR{ywPph`gJ?z<%*oa)qIekH5`EfUDV<}1z1l)W*2o3|hIM9xZ;3Vq>C!Kbc-w+!?9kukaQhIgPC3Sd+ zi{3F;v}agXbCd(!3NmaiU!bZXH3%@SPsXiLVel>j*r0+uatjQGvE!gsm@F_ySvK<7 z*?u(a9kwV5pv7J3U?#kEjMhW2nT3nTi-oos=EOr>s5nhMviafA;&FjZaCy>wt}#n& z51VSWj|-ebC*Dx;%?x`SRMTEZwNa= zFLU%x3;}*p`aVAE-xma()0ZYM?L67p_)T)o@9sR>|IRz_Ji-{B<3nS@8?fAKm7U6zftYay8m$U@LS({|NV#i!dv&+U)p`?)~z4?s8VTd;NU>Lw>L>s zwg2!TSHff94h{D9e8M|-)FW!J5Bldnk5~!&*y-k5-@0?>A$FSi*0;V@Y4E{UzWYzP zO4swP^LKxJ_u#!p`+HAz_%1HAQTyCD2YcW!#o&ho4}27HI{D!beS8!D$&)AFulXi_FzLPX0ai>L z?4nUVd9u4dIrv8Qjc;(*{Gq7yRQziEQ1D*)Jyo?zU?)*ef!QGXz;=dFVy;@*E?xH(v#P#mf55& z3Fp)JS8w6@+U~6%(FS{WxtkO=P%2*sdH3CSC&WK_^3FS7``Yc>-}z4dMfoT(;D3Q;rl*Q)L?Iq8r-_|)vwkW4H4A%ah%KB zmBx|dr*Z$lkjRoky89{k?6iRbygaULd74&3V0kD>!|`-Uv)W>f8*V5GXd0btq2SJ- zBMo;kXl3zpbPX0>+}#D9QXIG*E5o7WTcS&^I^o&*Ld4=kV0jZa;Khv`5g(pTy2ThX zSqK(be`HcNR=_Oa+-;(q1sp~@Z;IaJ_4YE+G`5N{C6CZ!v=3B1$AJq>#OYJ4#u1W7@cs%#R7`-z<&`3(5g9A-VMg%^o_?G-?wg@dCILqAu zPZ;IG8N=m4Nq(@$m>qV|LxE01H9X1CnNt=&JOW|419Y;6En{H`gJ>p+`KTd944o^u zSfnT+j(-_#*D(N;p}7xtvKskfpH zXi(@+EHQ*!T*rYRMvtI$(1+)fGqjzKD1v>XF|Yvr5JXu|fpi!?w1(*CjYI^TMqxZ^ z%I9z!Sr6TNBqu_P9mlXN?~tm+C^TA9C)SSWT~s?CIJm0;0|B!vYei1CvQ*rMxPngH zX|vv7!kF|C7MJj;1(ukAD_3}U#GeQ@C>;mWaO}4CU(u-~$fS%>T zui6L$W;muK(BMMHfFMU{kB|mFbAY6vJ%%L;JR~ay*bXO24m0d#e3+osT8wd$?f}WB z==s2gjt>4fCVeRfC4>X(>1l{}cROwDm)sh-9JEa|BqYkn!`UPOK4~X;(L$U%R6(=C zVwsknqGuKTMCgzZGX?x46$v$mNWesgqwDAd_1zk_3*rZ;(WtG478M%=OphuEaF$?X znIZcD8VBM9Dx*m+gf8hLrSbTVbZ}5%gJ%>03PcGdhnJuv2U+Po4hmC5C(OaHHkgBf z=d6h+a%UU|A*W-SbZpN&rw6EV*>{PY*da>| zPzw5l_&9;Pf<7SKakwn!B#gWSM~`r(Hgjn8k_h8Sn^@$SV6P=pvG@?At3PbCRgia6akmD}HTevG5Z|@FpE3>F29~GY+rqzJke+jiDkMVvR<>C+& z{nkMzh_w79r;s=mv1*`BLRYh2#-D&!Kr>c8mhm`YfRp)v_@Ig=X;Sy=L5Nf)tu@e@ z4y^o`WqAZz@u$SK@C8yI@P2}T&)xfuCbBaW!L!a-qpQ%eEXEL+^9T(4tOT?W3yIbl zJpd*GkFqJS^HAqVei@4hlN$~&sBuuXZ+V$=l83+#Rb&#~!N~{<03jL=VP>#Ij?JY< zeP9R_#YnRlYCV`&9b@T5Dv~*!nlzYt9AGXYw>Vo{kwFhX*6s|BG*Sp~Y!Rwdqy8hP zrisKH0wAHY3S3^(IeLDMkT|l@En`q#l-4R6kXq_{;yFuS>|v!5E|y5Fqxcd_%8W6= z4CTelAsS;Y6mSdwNo1lEWh0op6JcQ~#w48xDX2~awMvk2moyqd#2&xQueFroc_|7~ zH6t*$!l0Vx3KyyxOi3jc1HHish-n-c%*Gj1Q5+>e5L^lQ_`pFjCQiyG2()Kkrji5t zqm_&4JO%~waqlA&r8OA>UcHIVG5I#eOihslVH+zRbDY7P?p4V0To6j?nNtxsboQ*# za{j>(K-i%|6tAnE#FmR|t+EnFz!3k`V3(!DLk$?qT6}{_Obm0{7P(J){r(8OODJ@N zxCV;6=KvX_>i99>QjPebEv=&mw*|7VPP1anY0huc4RdM zbVG$rUEAY+sqqnzAWJpTe=4wxQvr?EhTK~=ZgPwcGo>+i2RaXOP0@)uGmNAdGs$wq z^Wg~f8+i&&OOEWK5r)-l5qQ-`P@ewu{*kn``SgGOF;_W{y-$`mm3myV{<*{uFX<)v zX}p`RBBzpaUW#vSx;G}>SEL?+=jt3a#|ETWveHF@j7d++^&fAH3%d*kcr+US&G^34U^day#&;jg&#mH(*wWd#jPYLV zm+;=K3iIGg^4_d^CRJP6&xzM`9#ilTFoi(G;+RZ+x*9&t(zhF5q=C=j51z#$^$Q2i z(J##8W4uV-YA>X16}eOcSNcn^L9;koBJ4j_&*z_fu+88f}x*=(8O{ zV^?Pu&l7mC3>y7rt1O2Y{Pk?$$|b{wP=lweXE8S821f(3MtCZiM-q)oq~RoBh?TgA z_cik6PvHoZwg~g!kB^*yFTrwP9Jq+|B^fT`B}?gHq%)kx_;D3Fr?x5vSh%_`L+{1+ zO>?EJLDnf~8l&9|E*^j*P7E_6w+F;6p7$ckMVMWqa$OW9S z5VmgEi8SMqWw!4DA!U}cGVq3PC5O!mzg7fY^D!1oAJW9K-M6b_i(w=LWXO__P-##@V?a)oivW?Nq42XzWWpxd`}S=2c$TkQ7(+xtOVz?683YRS5}6JyJZtK%{3}3YPGC=rC^UA~3MQaK zXam7!lvu;9?e6FFGhm~i5h#!m$M-ovV2>AETi`#A?d5F`VgeSSH9`npGWz&g} z@R`e{=;iEoKHpRK_OJKeyqTpaDHc!ny;XJ2sj5>y?!9&Dr@9|jAf-9RmRSehsN0p+Y4 zyyom4_46tkN;hmQVvaGgriv>b_ot(yqY;$aF;MQY1SksCRhT>zfx*3)N7q5YC0UY8 zeJkvG91dA_2_}ef!5&6q61IZK+Ja%}7`O`6vH3KTmQNI2=1ubwtg>FA4Vg~n_?xRL z9%l+}N>Svv4yAiDf_aE)jXKBV%Y{mT7Xg{e#IqB3NKpiQxN+@rS7pcLh6JFqj1GW0 zxWma>0@#ZITU*t2Kc5vY5A2OahZf5DA90xQn`CZmv8U^qE-Q9a3xDq2}ziXju6fRI=b%E2$@) zakn#K&9n+nRD|fLTo(PJQ)));A=~!FqM(A+@Ch?KtcTL~L#zqLP2xDX<4G>xf|5Cc z=JV({blq5i8SwQ9%y5_e#MRE#bzY9?Oml}SGxCp+d zEBQn5k&I*-9d5xx`H=aHo62;?X>N12w}*`dzQ!kr;C`oO)|qyK%J?Qa3E`AUs+ybona{lac1vHG&^PDsHh625OKW{^ znZ36yfpJKpMuL@FmJLa(%Be3xQQtphlB(wBe&#b^cVKr8FX8I<;H~8~_rt0+Ot&rc zhy&7QC7LcW%0!SUx5QaZa>VZ37q2rv_kjKg=IGwM`N9j!+boHFaMS9sF*G;L*}b{{ z!mSlf@qftuh%|VG8va21^F8N{e3=BRFwFAB>t(5Vx&*klzkll%LX#!uNWh%kEeT$1 zc5Hs}$M*i0JzrHXhG*)(LXcX=+8fmD0R53`?l0AyFLi$3=Q}?q_wO~gng5`+$gRFJ zHeqvdH4BOA)ng8?xs4;;5??cCcLR^yn&-I&4nMk|%Hf?=MoYW1d}4m|{>_^=HS_kJ zceI(9W`S0(z51%mO&w6HvR{9_e$=i{#+>}7|P(B5cU_9QIKy!It>t4TJRofDX|Nr>AfA_zX*}&a{2ikZ1 zo!44~Oii%0lrG9yFD zAOvR;R9DZJ!0lbVsyw)HLlRK;*d6-n)m;fXoiCD0+Y*RR5-{C^pEevbox5m8me zs>_8O7x{b~p61q5!4vWOrwa1dojE>1i0Q#gjF+Y9GWuCPN$=TBxIBan?r~#(Do-2! zW!+5P4r$w2Z#$ch><;q%IOEFGnGeK@(pXq1{P<^L*TZG*-R!@N|F<=L-lSetre2jl zjlB_w(WVZX7;CjAM_emN4YQ;_C1h50eJ2~*39QaNSkOR#JDSRh0{(q7Kyz-+&ZOx0szKnj6zsvAeVM0@J zFfW3Z?;`rQgm0Tkt$9&km(kDoTebYoP&N?iz4+}88`<%Gt!lOW=C@p$7vTF%#CQC? zzZ|&i$qer=*x#YOy z^etY8}p(c)HMF0STZinIhGwrNly0l-9v9YfsD?tNsVol;Zca7+X~jvrCCg@=#I*~ z5tk3+S*{(06}5_^=Oa0C(k!Njt?ee!eB?}0#Eg&&u5E~|(^88|X4N9i40yKR)b@Xi z4wLO_JAQ&7on}7|=Hr@}U2S$PP;`Q-g4=UBpI1&MAePi&!xUG3@@n9lG90O_1>zKa zZmyI^96u149qsWOS-pVQ8tR}sCLYfsdS|l)b8qXU6m&k%r(OZzC~{f0AR?812H6&= zajdAgqg`W}8TbOsh95A{oiOZeA*_7mo#7c&k?@)eN{n0oBogv*cE-N5Hwn_jW1JMwc=TV|hY!f@c)0fI{yWq7tj zG-u>a80K5{ip=zxpHdIXN!DR&!2w~A>CUrN1mThXNc15DiLV4$0I|S4Cx)XVH$R?o za9v(F_D?tV&0D}zT3XU#7d7+VLkS^do-o6rB&PAKtjWJQ&qQsp78$Ww_0BZAn)MEK zt!eVxN%5pt4Sun?-sRmv6k9|&)D3s+a!D9ey$yvJb;4vB)9^##CJQzZq!!HUsp=g< zyKDrnxQHL%0I|`$(&?q!5NDIgd>oJCF>f`h!ZsDx5kC$+D>;8FrVR_lM2UwEhSnz> zcX6^lAqszJcUbrwFqwZ)vav&8^Fx-C)a)uia(81GjR%WC&boyos|3ScI;l};TK%Fb z39#P5b7y_Ruv0qLzT}f*c7CVNL}c#mdEB!O8;lPTallfFPWSLQa`f8poES1zD3C{k ziXsbyaRz1(1WgXqndez&uklPdthHCgBo8WX*uo&MDFiGc7y}0cU}kJO;%5{Rv>mW@ z3C~}7#mpU=oUg1_Cpg<-T)2mg4RdSXf`=k*_`v-NHPvCxMl!{J9$eeM{@mR^==@t3 zths)`5b4_A{{AWFb*&NKH@x|~s_K`2`MD1+f2r#J@|WFRcW~v3=KF8M>FM3OkFI_F z>)Y7mI&jZDclYk@>VP%p>D^PPEl7e*kObZCm%se{D-T|IoCMmX`_Z*4S2q3r7O@`` zAL;k6^mQiNMG}4d`d>(@`0cdoqghi1QjdC*BE z`M^Ec4ULTm4nFaTbB+>c0Ys8uv#hs$-@U7~6_Vih$Up+cEvqD$OvYpOE$B;vNBi}@ z&F4Q)0v4To;uD+eP!t02qWisk{(J5YD=3~#sI7ZianWNpf)D6iFkZGVdfWFr?P~sA z{}Zom-qC+)wQm8f=a1N9z1~mVp32tWR_HkHElYR5w|rN4o$I|zH+S7)_gf3*wQDS0 zxPRlu1D$a3;K3Q6Z+_v;FR+T{RJ)-cEbmiVANmk^wp#Dd2KA%MALU(hJ4x`l&wZ}N zZ<$*wK3dAQ1TA)LerWKaL6X3+*5&@`7rww5rtYi+H$VJC`y3|l8TnkV$5@ARv5oqu z)kOzo`G7svSxK`4U-|SODDE$B-22$amZ$9sirdSx>z!1i*eu;7_+vkP>nYA8coGTR zZAq}Pg5rKv?FhQ-EOrqa?&zFvno2Yb8bVFmuBIG?$y|uSTiZ+@DZul+2(svF;itJ- zA9rg5K946fff|h>ZNMVMU*H#u(4x;%kDB(yn&n%t(OzzSlQt!FQ#>3d;fg0Q;3xU( z(b~|j1*uOPylp17WZXnTh)w45fln&oxaD9|ZRH(}um;1Pkl50wx~RXQ>+wMHq)Jiig{DXtV2D-~$UBw%Z@#`aW3 zr{(q%+HdTV-4gPw!4pQtkv*YiUi1Eni}c z_CsaCg)UKn>BXj&h=cs^Y2mIb%$1%1ZK63fcWCmW$Vrqz%)A9%IG+#~-;JU4TG?76 zdw{bXmsGPbE-UJJ$SYd3buo^PI!ilN?I?En9aR9 zH{$b!!IK~=ay@C4CqDwIjf7XAD=P6Go1ki9;AC?04PRUktyzzbhm4l*zguqoShCM>)yW?0L4M`Uo;23Q$E~*Jy z`{A&jjCw~FU$n&b*;plQS%5b}K`Qh$BDf4L1WfVb=EYIqkvp4JG&a6+hTO4PDamMb1bB^Og?^n!&FdtBc zss;1Bo=Ke^*mn1d{KCpG_weUxXCXyavqw0+mzkqpEf&`KvtT<7_2MjCUiJpZlsczX zWyc*JgM$7%@I&8+PITlP#eiuxZv4s9!l_&BtY&MB+}1~D922kS1hC)&cst9Fz61Ig z+^{nz<2gF*aE7}VCY+_Va8p+PAK}((e9X2N!=@H6qbFJbt`P^vV}RN-O60{6;>Mzg zInh%O*o>mv8xDt69FEB%cRV~EN3`_C@w`{LFpF%ze?)IXqT*HmuGZUfkTp~pJIR=MLbWee0tJqvkpr2P-5CDRV?Q?&PCPaDFE z`QbBS1R4|f7?OJ^+(qz*p(p-V+>bnT-?yQ)zcPLJOf-LRNXC})0#oYYDU0dt+OJu) zy?29U#1_J1ZtSwQOy^23@41g3+_-+@PygvVcgFX}H#GbC_18K3TYB7S3eg?xU$YqQ zS67JvMZkT&jS+L>w_hvLCy_v>c~k1df&gy$dO5y#uiyXGWqDnDAeaPF|B*l;HWHjV zB*2AMVG#qlc0@760obl-nD1hU0~elHMfp8I!566;`)?iG-do;BSH8J_bN}G}0X+?;rw6C(Rq`R+ry+3=BV7&`tE5Td) z*m%%x+`Dn3*Zb>#T{`oP8%S`AT{!L|CQxy(+eNYR;iD1f2d;aTqqjye4ixxE4tjI= zX&M@3s?R|J+DhJe-qba2YNbMQL}=bv?>q_XpJvcye*9p4dH0!TB95j^@nDMI3rneQj8gfYW*d1^9iRR4G1qm zrr6YOlnw2eP3)(I+`^WCks18!6#Kcg^m9!`yU^fU5`)XP19 zcV_s&9e(KI%DDqY-c*+$%!yD4V$hn(l?x#?no?HAR8ZdK!%EHL#^a=$v6Yo*hYE&< zNQF%NLnSvDwXFe;;GGtgZ0AD;db7~PHQrzq-2l}YyGjbkngq>UW$xss?XsxBjj7?v zq6r&WDbZ!)6Ke|DXHQE4pM=1dB}0T0ce1ie5CyMLWzJg3u&+V7q6lpw*8LfY zhmwaOg(5LVm0WF%UD~;c?p*u$QOEX9rm&SEDFnrAJ`0I?47S_Ir3et{}0H*FLBWt?&c-De)r5@JGpw7&r z+p|BNGF$Z>3w~7ZWeP*hmjg2uh-In}fS!t(J83e}{7vOgAfZOR&cL?$H;f(lF=cl@ zb0sdsLY!u-tWgPiXp!fG@LY_PZqR$cDyvpL6_)1*tcY@eDppK}Xl|&?nLVtF5v^y( zNnt2UH91v}xux`=+%S@g(z`*QZPGP}rm?OhTd{y&ZDAbj-CpXbe%Jy7TE4exh%3^uv6r0#rx> z#Z7F(Z>7d1rbkc%JUmHR}AZ5R| zpa(`Aos!;|IGn8LK9*F}%@{aBveOLeEn89DeSV7 zz%DyU%sH=Wzy_FhAG)^xjL)1;G|_p>tRanD@DvE8R;ZxM+#$ys(bYJ7;q|?@{v+|} zr>|fDNv~C|kOxKG^W9m&ixljy2dML};PrDBMhz-#k$C#$&ODNN*YXJU7&!BZuO7p^ zB1KmrSf!j(ubq<5PY`Vu-59-MJY{oVD+jfb($6OGx$(+1b5s1ZR0bzVu$sWa$#45B z3N;xMChw1#dsGw}SLlY8BU8CJO|L7=H#hmF$=1nbPcX`9i3Mpe36gKs7lB%F@|7-O zgzsfRcePqw;prhQ+;mh#((JtFUc3IBV1Xesadbqin;d$5hD{_93|dJy!>^FIg+x~# zRI4^Kk@^aaO*#{K!?tJLQSHcNY6fGAbXapKjG-FTgj`HVuA;JfpL<2LhEzPtoEB7n zRg2Gu#J{EmtX@&4%S~nDInhmFjH|itq2009ci*dkKIGohPlyAH4vjb?ox6p&A`PoUa7PVe0t)8306w( z3n6K)$_CAWB+985Z?`uOe&qVzjTbm`n$6yI2gfIFeC(nkPl=8_kIme|&2+<^Oz~7? zh3LLBAdG~^NfJmMVOG3q=)0#9lwiAkjUHrvnirKNrkF-HD<&`UI7Q7SBX|o?QGyF9 zp%I}NBzY6^&JCu8~k(U32+=CxHzJL ze9WtP$T_|P1fumi?2p-^kxj&Xax+JiW-bC(!JVko0v8$!_buq5`1c)Y*@4cC2ZIsh zHM+w4d|J;d3Yr%LkNjjd$`*WjZM2V3wXq8np1IQ~p9{U3j>xP#4sM7)3P-uM4WZ6@ zi%{j;SOm^pHSJZaHxU@#)YV*rg>KuYW*c5>hNE!I>*fr;%zGuTIZA#7L_?sO6rx@~;vDAV@vzHy zqUGR(pOrB0k@;vj|wi$S)o#5d!W~|KQTHm3M*RHhQ#Kl}1OySs9@uYBd#u--M!^O z{?!k>clY2fJFoAhvD~{1HQKi2*E&`Vn{LKLog@IR{lxhaq?ww7bwrKrfI{7OpWLVi zckW06mn3k_BOoDAU+jP4ZoqZ$euX>i>Ss3}>aW1~}yZkTu54azt4gBKO zJ{RTaPQQMmF2=$Hx2^yMurKwXZDq*dhM<^{;(xSA^I0&L0rejN7YwKm3^S z$QN!cUFBZ0XG5XB-F>@zpMl2R7(T{$n0H@#YmXh`-R;x2cj-Uy12=B$;^f|g`>Oll zGvTdy8QpW${%b24RV~SD2`s!C(_qMqn z<4gF1gZ13oo68?^uYUidpKg6D**^XB^lTnzZtk^LHQ5={efmt6zi?}R-#r+g`%*kO zeSK#x?*V5WwlRKqx$mxh|HoDmym9S~Yv)OzMy03ui@zRvTL)+Rb?9XvX?1jk40ZZ#XqgN6ycOgPAbB8Pk@=@K-K2 zKf3r*t24u)_M?Hvl28pIp`Y%q zM65xt4g{JOV51^!09+Ou`_vV6Q7~h%_O9p0$VMp_(?q4UIHMfoO0OZfkvQq#zsvm&xifrJ`rsALvJEB^n)hH%~ub*rN3bL_x;TEL3 zY>B7g6r#{gcUi>8+$Xt$D~e)NL@z(1n#Lp1Ra5bde9t2XGHP7Ajk%SgsfenY&IP-3 zGfe~DlrQPt(4ul{94jL#6z{59G-_3>A}Phr;NP(ujFB>^Wo09LK}@UyBya_x1kjH0 z$*geKhG}o$L!t>YwlE#&w zOsyCK85!b)dkQwuV=|P5X6rbQsVj0N=y(u*D}x|(l|&#`^cPLZ`GUtZm12fr&(WAC zQ;_LKS_-X?HQB3SjjgtPg2#(N5bSO&!WIOB5qjQxg0G^|Sj=pyD9zViIrY7gPzt*L z>FZ7c_~2;)d-RsrNTlkX01ObPW=vgvdgvsk;tiVN|EJ<$D+$J zkb0(9_)HvL*i_7kO1@;1JPxNBgDXP0GPJ_yYQB>c`vAxm_}2;;f(k#Uy?+7dO=`Z4 zPV~4(!bm4T7pw=utvMv0pw^$65O7JGw~Tc@deIt)8)l5HOTulzFfT`}EG9<<${MB{ z_6B{|nUGyWa%|Y`jQolN1&MO81Q{I<$DwA;Gli2=Gs+TlhYJD-B9?7K0F_r%AT7{g zi9gFkRV;!=aYdj!k9`!)nTuV1xW}!J*hMlf*N^Z^y{m^TZJuXU=E*v}F@oMgd>5#c zwUwkPysm-F;;&ohrZjlT+$^iP$ZW>+U9Zm?*W4Z z?Q)q%1b7TARnt&Y0~A!$ z%))Wu7Cr@Bb~gi$K?M*&=`v8?qdn^_A|DKMD`i!M==k{dic!MI^AYQ%JA-k5$fA}6 zULkb45pL*A(nicVt9F&^-XTW=F~A7K9R6fYlr0e*zBDa|)5(;__KN8p5Bb>kiYOw` zW$c@ZSz;=<`3`h z*EQNAiaac*%$Pj`f8>ui_cI@FB>2HI*!~k`wM>ooe0VS%Zi^M!X1`(zriU^-+gnXD{YeZZtlIHdAP~~>C-UpaxWA?k5s~od<9a?znX8mhVF<|a>ubEDrW(R*M>DK=`eFy*KpZpAY z|8yYD_+YU7=GxcI{cX(0K6Y@h%|VfFl&V75zjc$D&F@bFX~u5wU_*ire|Q`7!YyX0 zZgFf&pb+-m&DZF_*;~GG|1Yo8@?^v%|9MDijE+}6SK51Mm+**zBW9VoA&lY1sbqW zWQn=~O^C_Cy=jGE^9_$*(PZHC;o;YQUbA9>&7rG zgRtooKuqgTM_XtDQjO7Ne6Z*+PUN(uNAYkR!%)J!7XnoK_la2I2&cI~ah*mRs z8;F`l*N@!@DOzKZhLWTQI>|$y7FbzYb0ZkOxsiWS0U}N5dI~YfW9fMRRj#5S$4I1f zBB$5QtjOj0Sq%lbl2dB>40q*%&;WWhPs)fWX#c`jM}-miP2mz`*gu>w##2iyCX@oG&yfN^oRQ(;RlI>0Q#JIaan?XlvRN*is%S<2PPb z0hg{7%vQE!;T4&3(bv8qHOa6_x z4FQf6f~HD}g9(9~F`O{2XCaJ?z8J@HsxpZTY@)uFld^ZHK8#qp#fWLVF_wp_y)C^{ z4kkulG<15jwmBwc*bZS4s?8WWrB5NWF}TQ#PF^HLMF+!#`I{$0+>m2Q%xKIDx#k;m zW^*EMOGi2jq1fOUZ*jzv1-aVYpl^f_8F~-QpLLy3^Q2}I){AAcLs2#$&nS`I?;%;;1I^UMXWw73mAG0rDFqxUlB)dveJIYnB=db}5t z8h@ApmrMl!-^qe+pZM1F0*W{Y!0~-Ij_C%+1Z=ID$S~yK6@&QO5Dqhi(CK$d^3vw) zau+>HRUW}__`{@GcPOM?dQ2QuCx|m~;HwEivw+3HJbHpX8$-D`WXCAVY;ruD&thCm zN+yrbR2@6GDBsGJxoW~Vugj{TPg*)GbIHqVk|c#{;Tw*+;i$ncT-iA!hDoT#-G)wu z=qQFNR)?B99Nf_)xVr4s!%3F0DmW<5m`&T$`hqMgT^<xf>*7vzm zqYIIzUBVfmYkIhC-5zzPTMP>3=+Zi+m^>{ME_irBNd}GVb__Er(lb(#TT_J}#wiwS z1Dkcbm^?W3Uy}m}(ST28G^xcOxlo2PJ``Nr;7xS3uo7iWM^@L?d)X5-0<})eHiJF( zj8C$Bp@JP&WbS~3hy&GzVzMas8s+3*L5sr2Ou4BRGZ=7@HoSc?<$8LEc=6^9TPwP` zh4)H?>P}K#tmLCFXi+z6o;U*{SF#wiNlUJgH5^HmYCsLHw%Ce-=Xm5t&U^JA5RtJ< zCquESdiC)UGu*Nf(N~Zpfx_)_F$!gN`Wqx1x{2t#O_~<3+VKg4U;-qaiDsP)VslaG zOhdYH<`^MUJxE-gB8m#llI{z+MsT3WX=b4rjR+kXcfdRS_*zHb zEk^TE#)?3Xe+z<&bTr0>dpMZPm@lsY<>neOnu9p{BCH`|O){==kFy=eymvIo$488*9u51G zGSas;WT1k8iMT)j-lTC#tI|yd>?J!H7NQ{#T5Qql<>8Qe*|j~5At0%u??zdl2znvU zrk#9FL1c`i9XrLX40bs$+UayKpJJP`&v0*^yTi`0`r^<$c%+ZT$WL7z>EV_)7kJzT z8O%=za0UV&vU}2yeVj+~Mk0}+9!yzK$JRQ7Jj~~V+~?VmJIp)ku9#Wqs0>yQiY#na zhQJ3?9coVs`RJG;V0f!HIXUVc=XudVy`_*<{?U!OWHOvN>Ge94jJgnLXp>2cykCT2 ze-N3m+C_*K zN8PdmGBULBQ!No;<+{|8m!l&s@t>0zx`faItP5GUYG;GZqQ+`EfGK#+~SPH@dsp*m*{6Om5}8%<}br z$aKnIIsKL8S3Y#@SC)70uK8bnc`$hE?*83J%m3c}%hNljkFG743rGfhu_R(GYdii_*i-C3)`NZ;?`}?QQoqpmINbvlhe16Sw zvF%gtgBKHj%TW#vo_+V(cl%4Xyz|n{XKsG)TENe}@oQ|xbN6QcUjE*dzv`BE-?;nb zz25S@HOIxaqF5FeQ@1Gx2gTQlueqynufMglu{zAN9>sCc(>S1ZPOdzSri-o9uT+p{5@k7-BuG@`oi-ul%v zR`=Ggp5+#Y>uDS?N$`!{H+qubqFrpyhHyTn9o^Hew~rn^`kKZ!w@3S0fA228;jSHM z?(LG9$j*HXU>lKdir|@y+egzBa^Xmpv$tUX@!CXeXY_ zBxu!2ybRL!G|;s1IJugy3l0N5c&d88(=91R5AEd=MH>|&OGwJLw(AtHo{yA!q2=NsI5rJ{6P z{kCB<%QUPx-6l7UA|cl?rGi!YNW0r?tZ#X?jKyDY`lZrQbemDigSn##hLOI~?7F5ACZMvrd|V1m6e~V;9?X*~Y#BI;Rl#^%o?p>MMFJ>>n1Kg&15MbS`)&#B zkk2}&&PA>S5hL0!xq0S`F86kBu#UAq^QzfXuKxu-&#!rH`nZYfG=D%wa5+Id+ML7f6e z;U*hmGheZExi85-!gwE}eQi3_NIwH{y{EAW8e5Kxl@D1&Jo+-nLRL1Yi$x+oC4|aL z*|Ko9y$;dYTrsa(tTupAR^@>y$;DtiN9)X{<2>W}M0||*2NQP^$%uH?cNMph!>%9E z#ncruWga!u-pk{p=#7RbQRkN9*-+17N>VoKEw&>wHc+FO#+A(7rSOMnmyW&!p3&&h z?}u)3M2BV^7N*-9-_zbI9->ZfQl0guPs+4Ytm}uCx3Xhd$SV3>i}@i%cR1C~uG09j z>DdsT3{z8+^P)aE%bnM5jlMuI<9Ai)2fX>QFpFwh~V_wg6;O@rt9KZ zw*t7lb+`I9TGUk&u3?in-f8^Zv>kG=jknd(lUw(OQY5>kfeM$f$!=v5QYvVO8g0t> zx2WpJ5Do}-=QhQIzU%6QN!$Us4p%O-IOlWU*dAxhcZnxiGqPo=qiz^OZ!lsrKTCe4 z!DxaPiyM7 zO<#gt2^CneW=o1D&xalXChtzXwAQhN@B`fyhWDs2J|AnI0wb|?akLS_I;_Ct%u?7}bVOpCtIRJoy^cBtxa2YCsWWo7B|FY*Y`5 z5`l70HaJGSG=mwfedN$up;X_N?=hhoYFO`T-R)@_0uOrKT|WQbkgD_r9QR-ZwH+U8o6XFq=`V zV9?qynQ>5S5hZoceCS0O$F31V%ADMHDTM#F7d6?}fZ+MCp3c;I6^l@saQNk!#D0%E0GYX3< z;W-qBHQ&5YBdakYoe8lM`*8yEQvw;Qy``vLQ`>7wJz^XoqbU!DQP%UWfrBx4%)G&; zrT@Y!>+5+hQ}wEkpE7!fX9oBga38op;5Gh3fmWEC%`j>ca~4f6&jVr!Poaw*N=_+S z;9?XXw>FzgOK-&Sq}5cz3< zVCfhoNY1iJ{;(;dR~QE6ty0DzTk|Eny(uf^f*-})&Y~fH@f%(|PXlwU4IumK%r|`i z*eF{b#s*U}9f+bL`?bv13!hDA^dBs$EFf#0g)9^)1l64P1FfRdnrm&m#Wd!_v+mL& z+@(K_6hF&mLz{a~X}>3qclkVLpq#R@k`ZtnHlsDH4_I8Ny`hBHP`PMnb?2$Oa_7#S z2M5}%>IoE&9r0QTgjV=Tf=wn#px>GU0r&|LB}pLn`;kEYev^Tmy+S6YJ*cFLKlj{? z8&^Pat}EIcxtjKZ(h^n{)L!XB>l^;yxev0O^VHm_IN)L5sHX*!;K~*ArUkP*5};A8 zC4k;a03HeCtC2u{--=rQ-N91+h055Q$wqHW=ieEw1w$GjMneQS_V?hWs@jT18J zX1*gKaiL0Ljz~)pO`VD%X8by(IGxL2hPIAL9@gQBWfR(Twl4Y;5NS`%P7{RN( zp83o-oIM}$Q6Ok^24n8FolY9>p-my(6ONQ7wK39<$$-qj!>)P1e(qOv=D;asa@!R~ z%0=d`doVOlX|JQt7R*xiQ!=bqGm#ygjgS-umu!8^L*wVx=opS+p^(RJw2bq`tfec% zT9~$9b;Z4gkxHiMk++PkXTjOLdVGm1w;V9usRt9dyf=4ylfo_( zfa7ACjZtN$6q*WdLKBl($dI4YU?NxW9ysX`uk*DX-xiy~*(FLs#~yXbeR zZ7B`nCV@Ta_6^MNJWw=LC2dYktiVdZhASB>nqQ-Pd}Rr|H%%ycEVEcoRVqu?Kr~EMh$m5U`vMgo9UF4)rE4z&R^{>z_zib4r6B4~N~xIB z&)mIwra39Fd#_=C-Y)bZAaY7j3MI2Fpq19!t{9( zGvx$X;pNuMp(^8b#Kd~XX+^@H3t0v~9E3S#9g<22HwPt&JKbg5fZkxc9*AVxc+iQp z3-X`%v${~}&MMWjtbc3)D{wCG8{h|BwkYV7KC&vcbF3af7wxe!rntdsR05-GevYLf^Q5x`mhiao)v*RemjH%gb5Et^~N3WAfn)T-!&^ zZ-)fzQ-xL|ao5oH2COm8z4?U?4LG5*snOED+9Yn8Oc-9?=-t2Sma*a3)DSkq+q7>> zaBy(@_C*qu_sS=bfSkG-pFn~`#~xG8cB|@lgZu04-crmY`-lsh5+-{~H`hndB($&9 zN1793Z<_A>r4Ht}b}!y~hWY6k`(RnfjKx1wKa0O+K6@MX_3NCvyZ>N$`}Xzg^gNuI zNzw9MZt6tY`}a?`YBQ&*-q*PEJMT36Ck@)o-qzu@5-_v-Ta-X2%AS?LA;Gn4SFa`s zs5d;6+aL+t!Hpa2n#Pl(9;$BzbfWC8|J!Vg{n~5S9_`{=cPoLpzg-fzs=8PLuTPl| zCV+Ri8jvQVRBa_3wEZ+C8yMuW=?!xwvv zhX7iHO_-Ypc@mbuyiEI?#TvKvnNEUb@EG1W@`3owS2WwkO`>k>uDL-u*E$8OYfCh; z;XLrsS?XJw`O#?%2}X^Xn_y+bTDIJzMJZU{Q#&_BPgeUW`m+Wkv{oFNFU+>`ZWcmd zY)A6h1lWLWC~i|pn~!o$Vg@S8Rib82vZ=7Ny^^w7_Z6sMq&+6coZ8|wj$A%kUQZZ- zcT#Hg1wuf;6on$htuW<-A+R7rShmG7D-mx2q)qTd<5vi82ZPEPclgjvN&hyO+_~LF zpwAyjvw15bPql8H-X@b~VA9ft(9mY`jA|k8ZdwRy8ndO#q_YAm$;9EILwhV))<;SM z%Wb6|cjX(A2^N;%ihMq;$|m{fMNlg-7^FDcIme9zqO_ICiAL9+Q-zt6~y?6cq|V$qw8i858ALL&a)xTOn?YcGL6k#gThV z$yr*?n4EzzFZfwnt5ub#fvZxe7V_hWh{`!ic26z!$!ZxLLoqFgK7wml^t#D9*(fz1 zTi&&)-WVWOcGpcXjVU~=k>6-KsyTR!=mfk+TBstnIIG*pl$$Q4#q(iWjOFt!k2)3R7s(DPI9(k=#E%u&tzRUoslcOF3VIS4|K6m5Gb(4I`$@j z*q9rJ>a2bSo_m?BdNYjWCI?!H#uRccjzR5;3D0d^*KErZ2K2}e`qik{9|q_y(*x|M zO3iC$Libhlbg0E^RI!Pe@UsXSz>FHJ+4jTX=(19xhJ z^D=3aPeK@1HER9g`TtLSrS+te6VCs0@K^N8))b!C0W|Zo&(+Zmvl;f4AYdA;` zWtlc+wKm+mmcEJ-W7?R zhF2lu_mfFShmb8_-uq8p_+{}3I8@2_WtRl={`_a%$FICpca}GQ>CGeoLRwe{2WZtj zaY_Pa#LH^XN|3Z!2Hk;9XPq{j19T|kl?2K1n|Xiz7apZI9YWmw*#|ZseYqq}Z|qS1Hez z@3wTx$bIR-g9q}JZSmfPvE%%mtAAR%9H=Wy0q!-=4TR)phmaWRKxG%Y9>i*C1 zR&p6nCc5^1qjT?Han~ryc8xjDp;t?#hc>QxK+?*a)#v^u=QYXw!|tz`dBr{JTzt{* zfzQA{yLuNT8Fc5NTf@OJRTz44to|STw~1C&TdH~EVQ-IQvNgAIm-+Gcmv6kqM3B_a z^HD%-pL_5*3rY5aw+~Jqoj%&$liarP5jBA%kS^Uy;M|`x|GruU)3Rc2N}wKyG$A5M zuxun)E;l6T9*~*WuC_reudfQKMVa|P&+-$2N;>1gTfY6y>FM>;*L51w{rf2w?;oVc zmB}%yXzty+*|~jt-LItXw(~#|*t35gaSO-h403n&x>ubf(1}p&U2WNg1jf55L0R7H zu%crnQ3}i_!>L_>oe#|#NS|<2r7C7o7K2VOBgjuL@Q&j9&nws~KNw~$pn9$ujTY>D zVz@`=LgZ~7b0}vZoWUf-4DPvEJ$fOR*?CBIU@Esq3Xd5TnOzuqgW6kE_n41p^N0#0 zY@6QXN|bcp#`H1|dfWz^Sk8H>3R;*n*&2E>)DhyXwrrx+;*b>W5B-=;ZCjkOl-AIS z3T2St8aYOexDtq)6HHA%W9Fd!#MNtBJhibEo_(z(>md1Z_*c`g;FGVPAcaWw017qP zYy{g}eKb>#)jkCs|>3GU8y| zNg7d-Kr*yx!rDe}*7i1wv@0xDyenQm#Vk^qHt($mONSh&8rG2!%%NL~Y>fIWNV3Zo zbh`)w&GC$q%shj}++}k>Z5f-yS921GH8JRUDq_m@8M336Gt5Xap;y4|VJ*Gp8WKN2 z3Z~?pY?U0`RST+yUU-S!RXn#-w;m|W^B_q{n+stENYKh{yi>F=J%fPH$jd1aCB4*l;q>6kdL*M(v}M5m zn0C7bY7#)JT}ALK7%3OFVJ2t4LV>e%$0Sq3Q%|F8jOckZXKXGKrk~C*V^de-RQN;P z@}q(!yJ1#gSA7iJ6)Iu+CRcH{VET9_7xXL=O(Y?s*^!mGGF2QSDjWJlHk|6|p&cB` zg8Lw3DDgfI3txI)6T+B1=PD9B?fSBEK!w~A3vJk2PGD2x}j+mn5m(Nm1XRmq`hsRyN?a?DmS zL*4=P0tV4D43b?lu3Ob(0$6Z*a5d-#O0$#K<3W#?|Cs3&nX7WrS7!Lha%x>R(2n^7 zl|-TWw)A)@?uh#dpb$?~hVwze%JlQ3`mjY?Jn0{5dis!@g%+ceQsm1n%d1^rjC0iW zBsTIKii~e#a-E}oq2`y>03pwI2@1Og=uxh8E3g!@){!YKOv5gY@-jclM^VaES5ovx z-QfbKM>a&EM1srl&XjpV%HwD-i_*Yap6F@efIej< zomC6=TNtXVsbVfnFAqVve_W0DL@hX*311ZjQt@W!_j&`ipF7GrvwB7lK! zOO&~)fg`YNM%N?{9kL@pel)MtpH4lRE$BR_SEy6N#J&T0#d9&6b=lCEVCiR2X7q~w zw47(u6w4FEM_^9~D9FmSGO_DJp<+BCgqTyN;~}$$T%^pSD~40XtdWmx!Mj5`)2tA3 zS5cZ3p4bSH`AETnz{{nem=jyk@HTH5%D*mSK3i0WM<=f1ql;rPvNJXBf668A;#V&* zBru41#9SPQc*x_FzFFyER72n*(8Jmb)h1aefm#8%J6a4*JReT9i>fzg-ox>NQmQD6 z3_fvEOoGZ4>z;VAQtD`3Sr-`Vx&lA8iiN|zrv$i(O=SN^R-D22q8^5y0HEJzB8ttbt1>bo0 z8}Ewiop;ihTB(oOzVhP=<$FH+Yk%{?*daXp<%eIbYduT}_LjHN0lrGi zdwaKUqY5JnBh;s-|H9Sg{`q?hOQRnoHDu|RFEoRk@4ff?e&2HW;kT6!U;N_D&X4`# zC;y?syng+M{>>)vbB%{z{pGK2684-VP}Zg$!Ki2Z8Z+1X!pxo|SZmDQ?MTpFqT64; zev^k}zX`ZCH{;o~8@=xgo!GQ$>Bf3*bVaxKM~2V6E?+D6 z;s-t;pMw{}55gsmZxJl#3FEcyy?bMz4esE!+xv^SEswNZ0yw>wa^-<^#JIE?+44V? zStf08Q_%qvKHr@Us;na-PiBxlv2}wTTVNliDKx@f_-5JMqsct`6}##K%)tCdh@pL z-`%j=03UD0UGiLrvao2gGt^z~RUO$SJl5U2fa)*6KOI`3t6i)yqczC2j#nSgM5P7H zkM`+~4~=t+Ln%x)g{o{xp|H8^sI{k9+6GagtDK~b!(=bC)NU;8O~G&-CIcamc&|*U z@wxMf!`>w9bIWthkR)3cIbJu=YB01DZHXuVc-Xs0`Wq&Fay<&{I@ttaRWj(td3=> zSU64)MFl+v9Wcjgjhk8bDp>@%`XH2Q-7&2WP%D%hOy!(;iPEM>Pee+sM%2>Nkm_+c z?%L#ZHvONeev!tpR_~`25xwt+T!U%^Tg<4KZs-R=JxTq!~V5o*|>bGpqYJ%g@2_Yz$kt`A2B_fZqVNlobZ3~*`UFsIU_5Ng82-K)pG4WV3= zVX~N%CC4LGGuFYdkfY? zA5MBye6mr+ZuHD$Blsp&kEmUL;-ItnyHyM0I7u9JrzpfRfI1QXXXL1X~IJ*pWlzjy-b=F(i7&=!;mxIVwd*f5x96aY%w; zafsxLCImi-7Lud)Xd=lK10l5ZqeNfSu{>Jz!lHy^zkCp;OoOhH^qRwO$>)&;j?kb z4tZNF!h&;|j_NuP?z}tegj(k`8J(FWy~P0fq%0DBJR6PcjNC{ZZpf>r4t#~fWMCY= z>rV(_;R#_9D7uVZmPNJ*6Jo$$H3^~h`p2(aMtAPc_pCzrcVZL7 z(}j@fiEh2NLYy_l6EnVs`W~ub>m9E->!RY}1w7Y`H(Tm7k}EZVFnAZV4eeqkIy#Sy zRrs#Hoj#G};c;L=cvtb$hne0xJbS&l8iJ@*n|3xDDA$wDPII(;EkbTS1w}1H4 zd&XtDJ5f(9i&8zQ(Po4(Q0uT$M`n3EaR>`0zZ^|WhzN@}j! zs+t6->aUzN)z@rCDE`9%>IHGkhKn%H4%>18(?Zr-RHS-ou*A;taabP_D1aNyE!W-T zn1kyWYjhF2u1$z52Z?dTWWh>NN|5o3s^iVyioq;a7769uA(mlG9Vo|<{gp+)t$XfH zn#hI>`gK(r_EN!Y!)VPp!cu{%K~YFMox-wUSas?dYLugaRG>Mb@+)I(&~eZm4TgP! zV8=4YKxBHsFI;d(3`KFi8ac{4S9#YZaC6&T??n?9R%FQn19?n>&Spn0pf4@RI2x%( zO*|M1!qJ!yj_xd`*qW2&rN?GjBqxuT9te~lHx1hSfR}WZWru7sh>U;=U7!k(%!J1c zUzU*vvusK;cY%C7tsMop0n=?~L-A@h)b=5#y$mvmkc7@+x6>&+bGC!B}q)~*{OEMKuaF#B#7d;ZgSfux6jIk@GG8SEs z!=61O9jbzTG`Y)`9!5Qu>5)`zR>|b3D7}dfGs>|V9|Z!U6l4@!8&HF2%BKzqUn}n^ z)VfA6aICGS95Zn}T)l1+>qU3?oO{Ln$V2yijp>B}<(|Qqj?(CzP`|^u=RrRde%l<2 z{Ev0k6gJU^fAoL&6aPrapZo6r_3y}xzxT5*$$amv>AuWwTsi%NGJoyekDKT38~z(F z-zdNTqXF{tg@5{I{;JHEKlAtgeVNtG zk3wfG;?LGz<{jODU;P=uT|YY^oM@`?>FM= zwwtn)-x_^=z;tZO0Se&(#g;ff`Sq8V-}8U}*MCm|{M>i{q?x_{<||*9`E~=1o zpRfP14EMkNjbHq`l3@9%SDs()&HIwzjWd?-!6)y&{1S6;)4S=+xT94> z7#q|0n8W+$Tvq4I;g#9>YbhXB+VZ5O*}hdmac9nM{VXeR*~RMf&$2t!+Wf$^{-3X* zf1qaEk-4yL@VEZ8|9R!_0?P|mnLYjL>8s1-y?X~r$nxe*CY@eZpPJer{wCmCW-h<< zC7DZ3O=4@Wr;^~{KoV#?`H3WGqJ7jzuv+3vNd!i$9TL3$`t|GgHD-PZ+-9rvYQMV4 z1mfGTzy8iUOC*rF_QvQp<-0E9HAVGql?R4izL5&|{{8!(?gAj&JlgcOW!GHZ_b8A;?t6vPnuHO^E^oh9EOo}E!6-ij@rbLDV1sK%&HDT`g*B(f3%llVPT_s2y=+bnZFj;F(Dgmj~P zZM?8DuS_^@Xz6*^Xu2?ZmsCBKcMGxwE}4{b)EzUq%+$>n+~Oha`$Y9Y`OuxCbU~=O zBzc=soVZhcZ*80OL`(e|ZGV@RqPV#`U-h|s*4b;r2J#9c6lcV3PI z^pfk>11xPZg|yCvlpA1;l3dn;UvVZM>8&coz}8lqnW}2i;FDG_dQu{iP0C&CCLB`( zFj^%tQ_WD_kkQMSDvHXYxu|ogC3!7kHF0&QP&$-X(XRF$aigUDT&1TD37gS9Q~ z*;Yt@=|`wjEiXQO=}+p?N<8NHj)~YXnX7qmBux;n?ON00CZG(M=5?Xg~ zNy}d=+N$O!=}}ODx0S*Df9-t>oE$~9clA7WXTv5t0|^OvZ4VELT+j^})dYMVVDpP{dvY$%l~yMa7?pJ!~LwjXZ=as9Z=cs60eA z5D3DC`TnP>Yo_PDkC}vzTW4na)H!wP)ag^zJyl)RRVmy^Q|OriH}wQ?*%EDq>E`Je z4kT$?FH%jHn!J3e*u6An0Sj6^d8Eog5OR%_sRfG;$l6dfkZ=H#MMDwX5`mixUqH}7 z+o1WqcnSnxTFl{;lDXaxVK1%tIV?rED=DxKJActu%cy0MARh6EF#~y}!QtY)XY{x6 z)-DLtgdUU;g6M$@fC_x^qhl_dPgjnQV0E&Xc=HPn#Td=Y6+kyV+-EqRWJdiaOIV=c zK+e);B+YnFgav@9Ud0 zCme3}w+)P)*7xMi2laN5mYcuz0nz^%|C3#!6h76zQFy!Mk!ytyp4`3aS(01S`=)sB zdEIRvC|ZAr;&VW`Q765xU1G9;WkB^YOy+$7r^J>KhLmvn4F(1d;PnU@~AS zYjA+j_{FMK=sQRKA8$5*m-=UJrM~p+)IHqzhC2-NRY;B$-U;A5W3!E;wjQ;$-NyQufH?lKe+wgpVCMYTx3%GsZ?F$t>a(pk~ZClPyZ|~cB z6lv`D=jPzn--3~!!`{^(|3vn#4LbK4dB7>7CxLcY4$4X8BYl@UA_M%>m4HwQ zUS*b3Iogd)WThN5>Sc-Nr_9}oncM8oCZT{oj~WqC`pk1Ghwg)1+S-TSTh`S6{Qm#Y zXze{SQ!;DOvD$l9=$y3&v0B4RP#HAsjxQ5)XCLG z+xt=r&5Rf#a4DZ1b9a-AmNCM^(CBIyG*S;vRXbQ^t?-}~X+iA)?Sc1}2dwv&Iog`N z;{iUrY}NCja(HlBhyO<+Qd(lxnRrfANDnT5lFGjNJNP6@QvENM!%D6$xPtFcC?24R znE4z}WSxRMPcLWmc`tzpV@!O83CUrm^CaU4m-Py5y<1y=K7;xmp8f}D_g#nDW>sEW zVJ$Svi;g&kbkk%}%mc+oL7ZbU9FGgq5l2%T>v7;vYKnT##nH`!jL8`Uf@4}FP%%); zzrs~soWRR5MC~>O3&*G?yEOZ- z*mf-19r4K<0O`T|GCaw%8nJ~HYYO>%%0y*CR$%x62TAcB0XZVnL+gP{z$+w1D45D+ zWP$I!7F;=j%JIE2mBN@M&kUcB#Zn=E)~i0+X)ctr2nr~l(s?PzRLZ4XT$nY*LQPn| zkonA1yt^k`6FH^ew5p{GzNp`aUA+`pJB&Y8Y~JS&`yzI_n|FHZK3<=2?^TqWFB!3# z#Cs35%iGnIB+p{4f4|uoaQZ zud0MI@0@Xl#O0LCY|F5=v9D)ji_psTe35|Oei+HlOWvp&f*S<%V|317+Anw zf4N-z@c9GH?d<~tFZ9znwyO=gN~j~b4Fen6OGJ&3^+vG0-Em&%r*p)5^!?@3k=%yn zY8IesR0K0S=@_AsP>!#JtHmq1>X=s-8I*)ID5-KnCN4hu<%77!2i;KS`H6=}ab}_^ zYF0`m+i27RQtz}@k}efzmSSdk^mckwP`P6#e4@tNCD+7lN4~ZiWfrTfF{^rL0a{D} zc}NHp)n+Oxrv^Ej&_N%(_b!piWtLd^ivq3%mSl1u`gyzafvg5Z3=-6NkvQG^<^4a( zg>r7XTB6u_nc}_D6h}Xq0s%Mdr6m_NMVtYb99TmyD7*29 zlWa2GAu1m%A_Muns+2GrQs@zzNqn#slRs!0rV*tVZJFpi2XJ~_V!H+~1jt8>rF-q_ z`i&~#Dp+dqTni?{`4R2M>6+q*H8Y___Sz9`$oRqLP-K8Iu_%HVHf5jnqbzF!{G4ZD zN5BN943KbDa4^{r{Z7U#2k(^oLScdNnzY1NDp5$_Z=W!|*bX^tT}5{Sqy$Id5?OgG zafxWj;y6uC!5+?e81Iow?9w18J`4#F0>WG8kOP2^kHGX|I0XSB3U~<5R)ivsG%%Q_ zIo;>b50Y4{9f&6bcwj&h$c6X_#)t+*G{yVzCOipvLD}Nvl?=y`r9=Kjp~2;;JS<|c zqJTjXVv#?@s}zN}l=?x{1PePJIFuTPuz`bvt6pH3gnhiRBY`VnrNTZLpTe?+VQj5N z##>?R_boL*NdXMX5hz!<6MzHUp2ebY1q})Dkj9mia+FG>BvQt+;Q*5R@B^TV(JK11 zTYX$&+H4-&2#+H(`e5w|9PvZ}ViZF)fIdF3hYeH##Knm9B(NbkoaPvzxP{tCw7BRD z6U9QSNMNCnFx-s=f-F2jK|C%=azBn?(vuG{^ttmn<*9669KG^55>juy5GO@)S5n0@ zT02n6i|An;+S(ikTU50malhTcZf6TYoo3qz_v4LrK?8%=22sNJZAIf5@`Bzf`0d~g z6I=_R(6!+90t-NwvH(&wvcTWm0%ha^?1av4U$}4~ap=?)rUltf13hglwj#$O3fUBb zkCebt!|NAA;7^dDh%0~z z9YY81CK3NF6_Zz&sF=KLq-=O%e`S0s0mWAE0G1sCn3Z*u)*paxsl_EW*)E?2u5B}W zjk%fLK8T4-rAs)GQWO*Bt6F$F~IY>6tC2Xh&A z==?<3kqnQ!>1HLt0dgoSxZm`eYg&v_Q@5`&6#EF-nv{kcIMC@7LPFMWS``zi9XemE z?QI9+MCZIt>wV*CR(2?^P)&In4PK7em}Mag@;Ejz!iOBJK$&g142oAEYEyk zu&LrIU^-m_cZojfZwLE6If9)=3~e?Aj+Jh5DB5x2bp9CrhJ-iXk?~0f^4J78?yX@$ zS?L3oLlY6S-y4-22NmODpDzlf5ufgf5hs=Gw41td%AAu#sIxG>tFy~5<7045C{3!W zfykV@3s%DK2m)f*@d`a<2bC6gl7D-1zcY-A(u(6mYK3y0;nBKZU89L&N z0Itj=F;dJj#6DjL8we4K87zpA&U7k57NEkAM1FjvFy2Ibb#SsGsAHajqig{dN}9Y4 zNXNsp*E5#o6C@d4CSIJEFkDJjK5`W;3Pcgu=PM?{C~?;U>@kLtM_IT#ghhKuA}=1R zkBWGUl14kF1`1aIE-EuA5?7gN1mP|d% zlC*F7HaDVKaUQt50fW4M%U+~830IOi=d7(3uSAE6O(YQebYa0~f`B-h;(Eb;F9I`h zlnVs2KtNo~)VrY%z@#q@!vv0W93KTTq&PXO;z_yy4B{1W3xvv(35FYjh^C_qA&@N) zZ%Qda@+u9*Vjm9ID_+?_hDAz~nL<2(BmMFq;iaU6k71E#{6yObM~s8y8qQwv5rw^$ zAV;Z-?3H~qEG3J-ErKZg;$xP_dxBm5K#$x_Z?xE}$II~eA$yiGiLk>Kscn%$#EWqT z{BI7wAn-2`vfQ(j3n_6O#amG72Ds2?KiTXf7s>O8yI}vtZN!(&sIG(5!epC=~ zpBahMqvt|M3IQqTjl+ar7u*{PQB*(Nx`i`rqA|sgpvm3P#91OiG)IX8(I|_IXFi)H zL3!6Mhrr+IsWkKg3!8K0>;^0GdfozHgh$gT@wpbop5H&pTtS*kcO%o@L zA9;aeBiInu7>=O?%G|n0aL{0sm8AO~JTC;uGbT!g+3o-lmVL~K;pJ9}|g_hNv zA7SFIlFw08#OIGjME}~N@+W`;@Qq=|4`kUB0~O63dEyG zVg|yIU>K{E*BEfu=d|>>-z-$jVUG`g4Hl{?Dyn62Ozg(w@uI-+IKL=rcQdo;PCE)ege<{9Hx5@CU5hm9m;M(BQ&Wa+2NpO{%g;_^ zq2SU{O+kY+3?B+-fMS#pGiAm6RLs>Sy{DeKP^{>UW#0Q}n70LQ3mkXvC~+MOv^Tfg zY~yezjrL%>-L`S2P&KSWN#ns>+>v1e z8wQF;T{DaAqmEj3)Z&hrGvo2sUfaFfF#4bC-!-hvMWS*RoN-2mHE+Oxd;w&by?EI& zvY@Sv_4U8Ld&g*_-|RoSKunObB9)3M!Sgh<#Q2i@qlRFjP#6uyygv)HX3%sk338;W zWK;#F`jabvqvy=5kiH&KS$7mG$K_i?Aa~QuTf#C^cZF}B%H~q|PYhYG(0eJ2$focH zqT;?n&PD$tPXDLW@60+IWfl!k2h~FT!Ow~!48_zGH%O0(j|>q-5EZGTA|x1<4AmDpJ@DU_4o@ESF`J&+KN zHC5?yaYiPUKP(|>7lfA(>!26!s^lhiaB>*TLC2Z8G8Mo*54Hc9@;Oon>r4dbM-WAq z1XqM4h^BfFfLo|05^W(%v0WWxpqH+Ns7)~yfg@3A5PSo1^mCzDnj?mOqE?E>NJOwi zv83R84`et^>XWG)oQQiWc|sH~!ST@q&~P}A^5J1YTuEVIQKU3*!f2ov*zY@p$9W8z zp%1e&tAR3=mlotIUYuwcR3{U>th`AQps>TXnG|o{hI<3us4Q8D(wc=rk(9$yC9JSY zLo_;PA|7(|Ay%04Y!Z?%IT%f-#pun)0zBS?X`Ha7+D(8)?a9UDCI>%;VOEI+LA2nj zc=5Q@z}nhnT%7p~=9>*-%st=!_gh(l%9O3d9Aiug9jXH08Me(r35%s|W}?32Y0M_^1USO-M@JbJ0ndSZ z`948$E>d}gPz+&9zIhw#^mWq?VLZr~Me-Fvsp4g%R6O)432fR;<*tAvh@?jeIO%ZQ zre3`j%K+MozTJe3bZ0-+X|$&e$%k{?Q@P+sz_5?qY_P6`{4x20~9 zDh+O5oE2gvUBp+taY_+WQiNSeir*Kc7kkA@gj@Q-L}QrnLi8_=68rrq!G3fCMKqJW z0ctgalWE5LbT3d!0W?oE@3tx47~I+?Ca6|@dysM_y_GB=0cin+$btJ9f+=0TpDjxPVvLH1Bm!c?Wr?BVzMzbO2 z@HR9z3;D7()HXu(rKvwD-fM;W!ai?pb1c-R`qI>&RPVL!Sqtc$U-jPW^3`y}9tV2o zSH1UYewb~nodKw01i9NVqn4#ljN=7l9355d^k9k&pt*!k5WTITfk*Yw61vhJdtFW< zUr(_>etZ?G_2DTi=apD}G6k3*?{xYj*tQPI6uO^0g6aQF2G+8nHg0RVg z7=tmzEqgdN#7g8yjXG@IS_t4o!BY=6&~QV(%<+e#z|`ZV&&}mV>*q(P=no8ukohPOpj5!Q;L91B%~gAR`CU zP19()`hYu8H!NUF+?VQagFoUI&ZarNs1IZT`mY?bebe>N9DeRb=_evi5^qI!Vzw@( z_{RJ(yy%?fnCDCO)Ez9=)oEc0dCG}&8U`jmSVlLx&{jI+v+xkC?)kGg^_toIS&4nw zHo{4l#;jk#r{n(;@U&+3(ixjeYMe1HY6gVi?Q&JDNhKpyn;fFxONy~>N-3Q0awvW%cLP-cNskv4-^H9! z{SaW{F($Y!CAlETM)43F@xp@q5+`3J@f!>XcL~8*fw*{90G=yBHjrWyKk60$qI58P zrU?`h|AQ35V5dPeLB3srHIxI-6XxzYdAJD@Kqn0unld*UTL74@tRTuC7h}&v8&QWt#>6LgpDezcB69FR9#1=#X(oKOFt0$UF(lzhuq4jVgBq(VJRs#~` zTqK|zL4lOC;L8{W;4Uq~Bw-OJ%+et=3p*(uKaQE&z9uvdL150dzz9}?I9%z#U%<55 z4RF}SlJB_j?rJwe6}cLcm=o)yj8xocGSV1Nio@sN({Lzo00S(EFv$t1bS;QgYaoTy zY@oto;glFLldIVXzM{iPM`)v|;tmUtgwJ7y5lb~i(o#bhZDa@WhSN=XrfBSqKwc{{ zTy*5{6bDyggb>KllJwFLg}lpwWH_9(6ApYp3BiwW%#DqqE)b`S^d-386f`7wq$#VBjG4GwQgQNI_#i}+A#ty8ch{3#gNOoeRJ0Vkq!xmCNgCl7+NN`8U zSa~d~k&34=wLA`usR?i~=y(ha$sd)SDeFq*BgbiLqqSO6OK828e)etrz-n{aBu!ZH zC>~>1bff_T{8X4?R0<)5xEq$?!TunYDIp86bd9ipu*!|}(Z_26(b57LCoNE6l|s>U zrtSFre*i7be&PQ1<~Ew&%32zl1g-c)FJtGi_Jb#2=!~2|G0WHu4Glu_yle_cNDUZZ zqoT+xyFtiSbArsNg~0+LDlNc5I>Itwj|Ebfv|w3*1<(K+3DhDyA`C56K&`lg(LTAJ zP8EzsLZQTS{w6W1m*cCIdd4)5jyo9rJC2QJwlE}I#hhV9zuUgE-FCb)V6n369<6|5 z+557O`f&55$;`M=u#j zK5*P0P;G$Lo6Z8Jeljw|OT4C^q0g4UT*wr?ze2MOsr;L84Chx=aH%$q5LDeK zADE=e?xm}u&Va5uXTBJ3GX0@+ z#G;2cV5B(j1S8br)H!>o=ONzBkf)i7U}m)GM}>$ojtess3CFl))!zc_J>&~m7{2r4 zzQhdtPvbRX2N^q{Lk2PH59pmQp_kTxXSukeLDI?k=gtlrwK~&ow`XU*g+jY`gBG(! z1DP>(Xe}3>l*ulA!@Ck`X#MAQt34PgI~83|EG>A0!GiuwzshO+c(P#IHbvJH<0%1r@N6cG8Qi(v zd*;;zu}!}X|F)hvE8VUIePKMYu-g>(-aF7ZYuz&zs60oCO-3I9fnr@nEI&0wsW~c! zv$#ls))Haj10=<%EsNB^3QP)==txikPH`oH7jdOD_yOn-h~{AS6ofEQHl37^1g;E5 z<>;Zt(i)oO0VWqiNoKJa^*HbXQ#PVn)7;5Q<_B^b^7(~SHT|*$7DY0*=t)7qqH-6- zOf3})-cT*IYWfQEA0(}+lsYXAC)se708<1kw}&(=3+R04V`6|rRxL>vn-^d-I8NKA z24ZskDj~xQB+UYP2xRUYIh^YO8)2L>r@V3YTzs){X%w#mhHNXR$Xd6EO$0ZQNX-Cmn2^z$SK#XopK_|#KF4`d87NB&M>_3<>7FB5m2n1WDAea3i#Q;E4G)VINER95OR3uuEGQ zo44?)EwBRo=g5vmDzF<_WMP*n`QGYlU#5TPFzrR~tg%F985OBAPQ=C!) zIDI(A;ssUWfIfG%iimTCM|&dJm>9P?qp2&HL@4a*=}C3-rT}+`_Mu6XHjgk&%taEJ zy9nTEAD4mRW5_U>$f7tZpOZFZQDHVV8G>ryk2-W&Vge04WET%}!~_`3K(eGtnxrMc zsd$4x-@7un@sL|01*x}AAzHb&l?x3$Py>d0EanwKoeT7c&Uuz)~X zgeMzh!G+ZeS@rs*_M#)!qzd8QVrQQcuyIQdYYN8LdW@-y=LG(<{4=-8U2 zVoRSA`Jfr6@2*OQqC^s@g?r7ON{r zVoH%vfuQ@x$XE#t1)?J+EB~|REX##PoMlA?bnYrIi&-@rZA?_iRpnPsM8?yxphr?J zL3O@?vNfZ!vEWKaZJ7gOQR0~RKQd*MvQJ^j9pN#+xXon_w6J*`>TK8Zbe0a9Mscho zOnI8_4`|pBN{XcNsI*XD*-!*>qV>Em!xY7Cg>WDsTc{osb*V(`hz}f%J~YoN0ePT) zz&)y^A!3E5ESH3tvmG00R5Hj!hA6P8t!4f zI{E-PI;ejRZI{!92N{JHB$K_Y%k~xV3*|;c8y3|AeDf>5)ETx}FZ0<&z8O)Dd5MF8 zpv8UEYp2(kOF-B%Vf`s$c{wik{1?gUF@qSFJj{`PDl1shiPB}Zg%ZzVEFNweb}8f@gF+DDRwy~U>FhK!4|A{8mA zCl{FuQ4K)#^jIrpM{-nW;>{&qpwJuV4Ht-2A#*8nA(;eaY*8r8RB6*nT&hbeM>{Fr zO@{`{NcTo6v;fLEel(_$1=^X$Z5u_iOlDL0qfJ|7ZR1>m!G=W7$(uj=aGtbeaY0iW z%}e@>7=$x$Ru=G5U&+Gm(*#;fx`yD`Sj(H)PEKVa z7xaW=!K=(`SPf7DH0i4Fq>77y3v1+&szVJSYDUcxedod)WEGUh8e3o(wqY0MS4^wR zkZzzgy%hkTaKVCLAbkFWWeWH16*)q=ILXKtaKPyDx4Rb_{0 zVU!)`jP|5i${LxM&PKJlA%(LMBMe{*rlMZ!2vrU-`E#$=vxhzyW7gxnmBGl>PiB3{ zuAlNsnhX963rLLnWkIwcJ^#ks#2-`nMnpE@4M}O7B1%EbSVbPmzOca=Y1k_`}YH^0- z5k)gWJ5N{qcRo9(t`%z>*e9u$uvJ0}J5+;pOYeJMg zD4sA$Fo2_ox1|i?W5ic55?gZhKx*?SHe;fksSe74W+@voQSLqp$DC-KY6R7fLOp^# zf&&#aUVYDjH%wfYrb6@FoG4~cApKp*YE>fS-LOebVVbXwdXIA^FZn^^%;R`?;nIW3 z7*AaiD1ThVCXH+o$WoQq1`P?(Hz5fEha@=ecP20#jw#CE;`yEFYT%>+Fw%!fQj2@c z^~yt-zfO+^=ow8M`HH7CM$ia#%l64-m0`Lpb0wP#k z+KS;ZBhmD;Vx1_JE`(|av^X7a;!S2#w=YV=;VdAOi|Zu70}(tYr)vP?vs4lN0Te}A zNYv^;`z6yXcE)-Q14s2f6>aT|At1wtt*wbKo}eKR!ZKo_`hZshkQY~&cHp9VV5tYU zj?j(Ef@Vh`OIZb7TDqeKxswVm^x)Xdy74^6l(Z~%x)?Z3TMBSj4z$IrreHU#C2%O? z^qxQ7rSV%bFFHzojKw2ZT+*dDF4#^KSUgrc3)ID!#;qfQHx%gRL_92v0gT7ZX2_sT z!l=wSHK7+TV4F(=&7$Bo#?}y4unqiu6)|$$aBz=!pLgR%%wJ`X z^nJv>Xf@`O;*A)jJgIeOajMDKIfrRxa) zq`B`I!jEqaZx;BF%dR6_J7`Lt{g%+yA$9)!{?~7#(6;B}*Ai|&bjksQFW!Arn(!58 ze_mh;*9zK%qHqj7X6iF9ZFBq1lFy7bL-wUzdMU6`tGvB>S{7#7L+p2bULJ*8+A|Bk z9{+k=@v)~C`zb)twZkn7Ss4r1!Z<+XB=*><+j54be1H+@7P?B61+$^% z&`p!n2#;wRQtqT(m5f-r%b|{5$cZP3?sSB1DM6Q*5l=@P19Z}rNR!jjcp)77;fNHj z2A>n}Cfbr3gqm`PSUTqG#CA3@Y;I&H{oI#~p-;qFED?)!a!Lh>FeDgBq?}G3=!`LX z(;5;%KO_(zQ1MCw;$x&CEi{mpvJgWj8{6lENS?(aq3(1y=uVyvUDdCY3#ETviw#POUDf| zT}S{~*iJoR1k!lbq%-w6qM3xt!^0HOk*JW zdL?KE1j<54M0vE6Bv9mOtl9?2Xu2C+R~4VrKw8Q|+TpS8bjV5jc)C07Po-nfn1VUb z!?_=KU01?N`&imc`+I~0Yy=K)9*W8MNDvz{IbCVg*&+>PGZzt7+BD*p(PXhu+Dcy0n1L2>JYaeLa!z`glXD?+s-y!`i1*n4)kR|0Zv zCrJq=4vrh3zDzAa{Cf$7)cOM8=ADDw$R4$Hqed^Im3)Q*WUYf=SRCm;FIT3vOG&Qc z3qM3flp9Yv=m%S|R}BGCbp>IB$U$8b3dif3Zz<)RMuGsq^*M9tQ_@}DK?Wd!ZCrW*&N3%CT+ExFY<=_I9ix}<0kxGRI81m_QTEa!u=Q0aC zHOr&Hm2^EV5L;6r1BH{qq8zRG^|K-A)QzZV#?K3aDOH~owFcOL%3mk8Gne)-JP7HL1|%uQl_>F7b%e}m}M2e*qq zgSkJy;%TDa&+yBuPPgd%9zS7uH{rxB`y52L?V9HgB)t4PzdxOD{ooJZo%Bi4e%;ZV zh1Vax_DazM{O3g<$CxZ*>#qM%o$CS4mNEiV!%|8gwFmZu2YhZO;=)AO*b|xN<-uJ_ zS)y>BkS6Ny0h*^MKeG2CdNMxh$LtBP3o^;lyda|XUL}r)~Af9EZ z_&QnO2)(&pdj6w>T(7=P*+VTCs%60wCFx?m5Ua~U=14hHNFE8RABALC1nO{5y>!`s z&+o;{$7=GDtV7m$DreNkYHIPWBk%mJQ1aWf)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f) z4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>f)4`>fm^nl+qu4CU} zM_MhL0(Tor*x7ut)%djUgY4VRv`6=0zSr18?3g8E4)*_=y<^4tBl80Z;|P8dAA4@_ zL}RgYdU*Ub4f`A4VCUEqH#IzCY_=|GoAN~CZN{4x-(NxC-Q4jgDG-Oi4VPfTvP!vB)9`%$Ys91BcdGs>F1C=v>sv}XTJb1#WJ65z3e z+CG`u_uGM=8Xr!buwlQ?1)fW{vy&ejdvI`EZ2t03ZyWnkaGr6>qYDSdZ3{k;?`rzweGB=w%|q^Py3)6l-(Y^= z@}}E;UtWIW++vHb;JkuSvcz{>lY6m zv3;L}@45b8-Tk5O@AEU?tM)y&e0b45+wXg)$HqqgrSA&o*@KVWHKxhm72f{Vj31Br zh(Fcw{DU7|JmxI__fmhq{$u-&xwh{|&P!*1?EW$Lbl%zj%Hbcse9Vjf--KV?+5W+n zah(sEuif4LmzG%H<85!O{KS8>e7WzLwzt}k`*_O@eJ{4XJ#gI1E%$fsG(_Fvi6yY$=_PW#;c_VKqb_>y_r%lr4;|Fh_qPdWXH{r?{P^^OaZ zX9UNO3U2OQan~8C@rU34`vn(o``pCwCm;V`J1z@-?w0W@x}I5c`Lxd;G5(wP|J}H9 z{^#!+|MRX_*Q`8y@yEwMdHk;JSFc>09{<)_Hl1I$WbT9m9tf?8-?HRCCw%OIa5}MR z>4_6gUpry@+IyBhGU2KRqDIm=vtz=I4Ihkrb@Q2zO}M9Fx^azjR>y>=8)oeI`aNeo zJmHMUii>{KCBle5GOWo!6he z?AQaowf3CYcjqtLbimKnUXZ?V+Bt_F@YvkTrr*TQx#@s^KCr6i2Tz^5-^Av*iS4}` z&P`7I;M{AXKU{h4z{I%?-&}C(obxW2c;?#guDGrFyloR#H}tMq|L7MxCVsDB{hHfT zU;ORFUp%mJ#fJG``q0Eb%>BiJJJ^@LH}RFVzlm-^B9(AvJo?p$*I#gh(N`=4um z)_nedPdcvQvFKf!zC3r*qJ}5${KY9>zGKow4bMb>*?+9-)>&sG&wZS-+%*p5dZ zz2uWq#;=<<{SW3PH%>Wx-U&+|KlhSXr&wDStaxJ6rPh>%ji;{pul`F@Q_gEVeZ`Z9 zU;6BnmFt!)ed_GXj+l~a{KE72o(;zH92N#-26L+gHq(`ssP!>iO#}S6n{z%*Gqm{LQ}Nms3}4`To+s zUw_3LQ`a>1PJbbJ<@Bkk##?s0c=?s*PrYf&`ren8TzT8npUm4Z{pC4VK0Ec-^ETf3 zkAtroKlPz`Kb!svyXvH=f86qmHLpB%Rp->_8-MlKKi6ON%c(mWe-nB2lB-^tx_gT= zy}y0sM>= zUF)Td|Gj3??z;Mz)@$cIzw}=-u0FN(JL~?o^j~*h zeOc=d=Do1s?Y670YyHudm!`kH{^~nh?`V8^$2$|Q{(bAu8(+Eeoy65IwElYCs|()w z$7-YXzQ+FT10Aa;wm#VS+Jb=%tEadAe%eE}FYJ79S?)9rL zY<+smt~I;+SFdT^KJTrbcW12rM(bbK{j2xg%UAcdzBupgo_9B`-qiY!E$^&&_l4EJ zY3*+un4X!i`k~g>8wYk|=B$3A^^GmNdot&*ex~)U#&@Hc)at*rzTNn4Z)U^lS6biM zk})z5u716BcVlKj=Bd@YTHkHV^kiOG{Z4D9F_X^hT)n$BGcU6}^VaHjE4GYur1pUJ zfcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj) zfcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)fcAj)K&?GsxC&j|Ncdp3kHV}Vo)bNW zH5A4xejzSQUyW9Jm7cPvYw-|^7ssmf3RlZ-sPW3KYPekfNVk>zr_!?m|EhEOVfuR0Dqn?h_8jpmiBkCg0gpv%%K!iX diff --git a/FPGA/VNA/top.ucf b/FPGA/VNA/top.ucf index f544d67..ab7be65 100644 --- a/FPGA/VNA/top.ucf +++ b/FPGA/VNA/top.ucf @@ -1,6 +1,6 @@ CONFIG VCCAUX = 3.3; -NET "CLK" PERIOD = 62.5 ns; -NET "MCU_SCK" PERIOD = 31.25ns; +NET "CLK" PERIOD = 62.5 ns HIGH 50%; +NET "MCU_SCK" PERIOD = 25ns HIGH 50%; NET "ATTENUATION[6]" IOSTANDARD = LVCMOS33; NET "ATTENUATION[5]" IOSTANDARD = LVCMOS33; diff --git a/FPGA/VNA/top.vhd b/FPGA/VNA/top.vhd index 614a294..d382670 100644 --- a/FPGA/VNA/top.vhd +++ b/FPGA/VNA/top.vhd @@ -141,6 +141,7 @@ architecture Behavioral of top is SOURCE_FILTER : OUT std_logic_vector(1 downto 0); EXCITE_PORT1 : in STD_LOGIC; EXCITE_PORT2 : in STD_LOGIC; + RESULT_INDEX : out STD_LOGIC_VECTOR (15 downto 0); DEBUG_STATUS : out STD_LOGIC_VECTOR (10 downto 0) ); END COMPONENT; @@ -211,7 +212,7 @@ architecture Behavioral of top is MOSI : IN std_logic; NSS : IN std_logic; NEW_SAMPLING_DATA : IN std_logic; - SAMPLING_RESULT : IN std_logic_vector(287 downto 0); + SAMPLING_RESULT : IN std_logic_vector(303 downto 0); ADC_MINMAX : in STD_LOGIC_VECTOR(95 downto 0); SOURCE_UNLOCKED : IN std_logic; LO_UNLOCKED : IN std_logic; @@ -244,10 +245,37 @@ architecture Behavioral of top is RESET_MINMAX : out STD_LOGIC; SWEEP_HALTED : in STD_LOGIC; SWEEP_RESUME : out STD_LOGIC; + DFT_NSAMPLES : out STD_LOGIC_VECTOR (15 downto 0); + DFT_BIN1_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_DIFFBIN_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_WINDOW_INC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_RESULT_READY : in STD_LOGIC; + DFT_OUTPUT : in STD_LOGIC_VECTOR (191 downto 0); + DFT_NEXT_OUTPUT : out STD_LOGIC; + DFT_ENABLE : out STD_LOGIC; DEBUG_STATUS : in STD_LOGIC_VECTOR (10 downto 0) ); END COMPONENT; + COMPONENT DFT + Generic (BINS : integer); + PORT( + CLK : IN std_logic; + RESET : IN std_logic; + PORT1 : IN std_logic_vector(15 downto 0); + PORT2 : IN std_logic_vector(15 downto 0); + NEW_SAMPLE : IN std_logic; + NSAMPLES : IN std_logic_vector(15 downto 0); + BIN1_PHASEINC : IN std_logic_vector(15 downto 0); + DIFFBIN_PHASEINC : IN std_logic_vector(15 downto 0); + WINDOW_INC : IN std_logic_vector(15 downto 0); + WINDOW_TYPE : IN std_logic_vector(1 downto 0); + NEXT_OUTPUT : IN std_logic; + RESULT_READY : OUT std_logic; + OUTPUT : OUT std_logic_vector(191 downto 0) + ); + END COMPONENT; + COMPONENT SweepConfigMem PORT ( clka : IN STD_LOGIC; @@ -307,7 +335,7 @@ architecture Behavioral of top is signal sampling_start : std_logic; signal sampling_samples : std_logic_vector(12 downto 0); signal sampling_user_samples : std_logic_vector(12 downto 0); - signal sampling_result : std_logic_vector(287 downto 0); + signal sampling_result : std_logic_vector(303 downto 0); signal sampling_window : std_logic_vector(1 downto 0); signal sampling_prescaler : std_logic_vector(7 downto 0); signal sampling_phaseinc : std_logic_vector(11 downto 0); @@ -360,9 +388,21 @@ architecture Behavioral of top is signal aux3_sync : std_logic; signal lo_ld_sync : std_logic; signal source_ld_sync : std_logic; + signal nss_sync : std_logic; signal debug : std_logic_vector(10 downto 0); signal intr : std_logic; + + -- DFT signals + signal dft_nsamples : std_logic_vector (15 downto 0); + signal dft_bin1_phaseinc : std_logic_vector (15 downto 0); + signal dft_diffbin_phaseinc : std_logic_vector (15 downto 0); + signal dft_window_inc : std_logic_vector (15 downto 0); + signal dft_ready : std_logic; + signal dft_output : std_logic_vector (191 downto 0); + signal dft_next_output : std_logic; + signal dft_enable : std_logic; + signal dft_reset : std_logic; begin -- Reference CLK LED @@ -448,6 +488,13 @@ begin SYNC_IN => SOURCE_LD, SYNC_OUT => source_ld_sync ); + Sync_NSS : Synchronizer + GENERIC MAP(stages => 2) + PORT MAP( + CLK => clk160, + SYNC_IN => MCU_NSS, + SYNC_OUT => nss_sync + ); Source: MAX2871 @@ -594,7 +641,8 @@ begin SOURCE_FILTER => source_filter, EXCITE_PORT1 => sweep_excite_port1, EXCITE_PORT2 => sweep_excite_port2, - DEBUG_STATUS => debug + DEBUG_STATUS => debug, + RESULT_INDEX => sampling_result(303 downto 288) ); -- Source filter mapping @@ -605,7 +653,7 @@ begin -- PLL/SPI mux -- only select FPGA SPI slave when both AUX1 and AUX2 are low - fpga_select <= MCU_NSS when aux1_sync = '0' and aux2_sync = '0' else '1'; + fpga_select <= nss_sync when aux1_sync = '0' and aux2_sync = '0' else '1'; -- direct connection between MCU and SOURCE when AUX1 is high SOURCE_CLK <= MCU_SCK when aux1_sync = '1' else fpga_source_SCK; SOURCE_MOSI <= MCU_MOSI when aux1_sync = '1' else fpga_source_MOSI; @@ -663,9 +711,36 @@ begin SWEEP_RESUME => sweep_resume, EXCITE_PORT1 => sweep_excite_port1, EXCITE_PORT2 => sweep_excite_port2, + DFT_NSAMPLES => dft_nsamples, + DFT_BIN1_PHASEINC => dft_bin1_phaseinc, + DFT_DIFFBIN_PHASEINC => dft_diffbin_phaseinc, + DFT_WINDOW_INC => dft_window_inc, + DFT_RESULT_READY => dft_ready, + DFT_OUTPUT => dft_output, + DFT_NEXT_OUTPUT => dft_next_output, + DFT_ENABLE => dft_enable, DEBUG_STATUS => debug ); + dft_reset <= not dft_enable; + + SA_DFT: DFT GENERIC MAP(BINS => 64) + PORT MAP( + CLK => clk160, + RESET => dft_reset, + PORT1 => adc_port1_data, + PORT2 => adc_port2_data, + NEW_SAMPLE => adc_port1_ready, + NSAMPLES => dft_nsamples, + BIN1_PHASEINC => dft_bin1_phaseinc, + DIFFBIN_PHASEINC => dft_diffbin_phaseinc, + WINDOW_INC => dft_window_inc, + WINDOW_TYPE => sampling_window, + RESULT_READY => dft_ready, + OUTPUT => dft_output, + NEXT_OUTPUT => dft_next_output + ); + ConfigMem : SweepConfigMem PORT MAP ( clka => clk160, diff --git a/Software/VNA_embedded/Application/App.cpp b/Software/VNA_embedded/Application/App.cpp index b70bc86..e776d7c 100644 --- a/Software/VNA_embedded/Application/App.cpp +++ b/Software/VNA_embedded/Application/App.cpp @@ -22,8 +22,8 @@ #define LOG_MODULE "App" #include "Log.h" -static Protocol::Datapoint result; static Protocol::SweepSettings settings; +static uint16_t lastPoint; static Protocol::PacketInfo recv_packet, transmit_packet; static TaskHandle_t handle; @@ -45,6 +45,7 @@ static void VNACallback(const Protocol::Datapoint &res) { DEBUG2_HIGH(); transmit_packet.type = Protocol::PacketType::Datapoint; transmit_packet.datapoint = res; + lastPoint = res.pointNum; BaseType_t woken = false; xTaskNotifyFromISR(handle, FLAG_DATAPOINT, eSetBits, &woken); portYIELD_FROM_ISR(woken); @@ -205,7 +206,7 @@ void App_Start() { } if(sweepActive && HAL_GetTick() - lastNewPoint > 1000) { - LOG_WARN("Timed out waiting for point, last received point was %d (Status 0x%04x)", result.pointNum, FPGA::GetStatus()); + LOG_WARN("Timed out waiting for point, last received point was %d (Status 0x%04x)", lastPoint, FPGA::GetStatus()); FPGA::AbortSweep(); // restart the current sweep HW::Init(); diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp index 5b74bb7..b686adb 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp @@ -3,6 +3,7 @@ #include "stm.hpp" #include "main.h" #include "FPGA_HAL.hpp" +#include #define LOG_LEVEL LOG_LEVEL_DEBUG #define LOG_MODULE "FPGA" @@ -119,7 +120,7 @@ void FPGA::SetSamplesPerPoint(uint32_t nsamples) { nsamples /= 16; // constrain to maximum value if(nsamples >= 8192) { - nsamples = 8192; + nsamples = 8191; } WriteRegister(Reg::SamplesPerPoint, nsamples); } @@ -237,7 +238,7 @@ static inline int64_t sign_extend_64(int64_t x, uint16_t bits) { } static FPGA::ReadCallback callback; -static uint8_t raw[38]; +static uint8_t raw[40]; static FPGA::SamplingResult result; static bool busy_reading = false; @@ -247,15 +248,16 @@ bool FPGA::InitiateSampleRead(ReadCallback cb) { return false; } callback = cb; - uint8_t cmd[38] = {0xC0, 0x00}; + uint8_t cmd[40] = {0xC0, 0x00}; // Start data read Low(CS); busy_reading = true; - HAL_SPI_TransmitReceive_DMA(&FPGA_SPI, cmd, raw, 38); + HAL_SPI_TransmitReceive_DMA(&FPGA_SPI, cmd, raw, 40); return true; } static int64_t assembleSampleResultValue(uint8_t *raw) { +// LOG_DEBUG("Raw: 0x%02x 0x%02x 0x%02x 0x%02x 0x%02x 0x%02x", raw[4], raw[5], raw[2], raw[3], raw[1], raw[0]); return sign_extend_64( (uint16_t) raw[0] << 8 | raw[1] | (uint32_t) raw[2] << 24 | (uint32_t) raw[3] << 16 | (uint64_t) raw[4] << 40 @@ -272,6 +274,8 @@ void HAL_SPI_TxRxCpltCallback(SPI_HandleTypeDef *hspi) { result.P2Q = assembleSampleResultValue(&raw[14]); result.RefI = assembleSampleResultValue(&raw[8]); result.RefQ = assembleSampleResultValue(&raw[2]); + result.pointNum = (uint16_t)(raw[38]&0x1F) << 8 | raw[39]; + result.activePort = raw[38] & 0x80 ? 1 : 0; High(CS); busy_reading = false; if ((status & 0x0004) && callback) { @@ -367,4 +371,25 @@ void FPGA::ResumeHaltedSweep() { High(CS); } - +FPGA::DFTResult FPGA::ReadDFTResult() { + uint8_t cmd[2] = {0xA0, 0x00}; + uint8_t recv[24]; + Low(CS); + HAL_SPI_Transmit(&FPGA_SPI, cmd, 2, 100); + HAL_SPI_Receive(&FPGA_SPI, recv, 24, 100); + High(CS); + // assemble words + int64_t p2imag = assembleSampleResultValue(&recv[0]); + int64_t p2real = assembleSampleResultValue(&recv[6]); + int64_t p1imag = assembleSampleResultValue(&recv[12]); + int64_t p1real = assembleSampleResultValue(&recv[18]); +// LOG_INFO("DFT raw: %ld, %ld, %ld, %ld", (int32_t) p1real, (int32_t) p1imag, (int32_t) p2real, (int32_t) p2imag); +// Log_Flush(); + auto p1 = std::complex(p1real, p1imag); + auto p2 = std::complex(p2real, p2imag); + DFTResult res; +// LOG_INFO("DFT: %ld, %ld, %ld, %ld", (int32_t) p1.real(), (int32_t) p1.imag(), (int32_t) p2.real(), (int32_t) p2.imag()); + res.P1 = std::abs(p1); + res.P2 = std::abs(p2); + return res; +} diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp index c628a8c..07cafce 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp @@ -6,6 +6,7 @@ namespace FPGA { static constexpr uint16_t MaxPoints = 4501; +static constexpr uint16_t DFTbins = 64; enum class Reg { InterruptMask = 0x00, @@ -22,12 +23,22 @@ enum class Reg { MAX2871Def3MSB = 0x0D, MAX2871Def4LSB = 0x0E, MAX2871Def4MSB = 0x0F, + DFTSamples = 0x10, + DFTWindowInc = 0x11, + DFTFirstBin = 0x12, + DFTFreqSpacing = 0x13, }; using SamplingResult = struct _samplingresult { int64_t P1I, P1Q; int64_t P2I, P2Q; int64_t RefI, RefQ; + uint16_t pointNum :15; + uint16_t activePort :1; +}; + +using DFTResult = struct _dftresult { + float P1, P2; }; using ADCLimits = struct _adclimits { @@ -59,6 +70,7 @@ enum class Interrupt { NewData = 0x0004, DataOverrun = 0x0008, SweepHalted = 0x0010, + DFTReady = 0x0020, }; enum class LowpassFilter { @@ -112,6 +124,7 @@ void WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceRegs, uin uint8_t attenuation, uint64_t frequency, SettlingTime settling, Samples samples, bool halt = false, LowpassFilter filter = LowpassFilter::Auto); using ReadCallback = void(*)(const SamplingResult &result); bool InitiateSampleRead(ReadCallback cb); +DFTResult ReadDFTResult(); ADCLimits GetADCLimits(); void ResetADCLimits(); void ResumeHaltedSweep(); diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA_HAL.hpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA_HAL.hpp index 87196a1..ece10e9 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA_HAL.hpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA_HAL.hpp @@ -21,6 +21,7 @@ static constexpr GPIO FPGA_RESET = {.gpio = FPGA_RESET_GPIO_Port, .pin = FPGA_RE static constexpr GPIO AUX1 = {.gpio = FPGA_AUX1_GPIO_Port, .pin = FPGA_AUX1_Pin}; static constexpr GPIO AUX2 = {.gpio = FPGA_AUX2_GPIO_Port, .pin = FPGA_AUX2_Pin}; static constexpr GPIO AUX3 = {.gpio = FPGA_AUX3_GPIO_Port, .pin = FPGA_AUX3_Pin}; +static constexpr GPIO IRQ = {.gpio = FPGA_INTR_GPIO_Port, .pin = FPGA_INTR_Pin}; static inline void Low(GPIO g) { if(g.gpio) { diff --git a/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp b/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp index c5c869a..78e5625 100644 --- a/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp +++ b/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp @@ -111,6 +111,9 @@ void SA::Setup(Protocol::SpectrumAnalyzerSettings settings) { s = settings; HW::SetMode(HW::Mode::SA); FPGA::SetMode(FPGA::Mode::FPGA); + FPGA::DisableInterrupt(FPGA::Interrupt::NewData); + FPGA::DisableInterrupt(FPGA::Interrupt::SweepHalted); + FPGA::EnableInterrupt(FPGA::Interrupt::DFTReady); // in almost all cases a full sweep requires more points than the FPGA can handle at a time // individually start each point and do the sweep in the uC FPGA::SetNumberOfPoints(1); @@ -144,6 +147,14 @@ void SA::Setup(Protocol::SpectrumAnalyzerSettings settings) { FPGA::Enable(FPGA::Periphery::ExcitePort1); FPGA::Enable(FPGA::Periphery::Port1Mixer); FPGA::Enable(FPGA::Periphery::Port2Mixer); + + // Configure DFT + LOG_INFO("DFT samples: %lu", sampleNum); + FPGA::WriteRegister(FPGA::Reg::DFTSamples, sampleNum - 1); + FPGA::WriteRegister(FPGA::Reg::DFTWindowInc, 65536 / sampleNum); + FPGA::WriteRegister(FPGA::Reg::DFTFirstBin, 17920); + FPGA::WriteRegister(FPGA::Reg::DFTFreqSpacing, 1147); + lastLO2 = 0; active = true; StartNextSample(); @@ -154,6 +165,19 @@ bool SA::MeasurementDone(const FPGA::SamplingResult &result) { return false; } FPGA::AbortSweep(); + + uint16_t i=0; + while(FPGA::GetStatus() & (uint16_t) FPGA::Interrupt::DFTReady) { + auto dft = FPGA::ReadDFTResult(); + dft.P1 /= sampleNum; + dft.P2 /= sampleNum; + LOG_INFO("DFT %d: %lu, %lu", i, (uint32_t) dft.P1, (uint32_t) dft.P2); + Log_Flush(); + i++; + } + FPGA::DisableInterrupt(FPGA::Interrupt::DFTReady); + FPGA::EnableInterrupt(FPGA::Interrupt::DFTReady); + float port1 = abs(std::complex(result.P1I, result.P1Q))/sampleNum; float port2 = abs(std::complex(result.P2I, result.P2Q))/sampleNum; if(port1 < port1Measurement) { diff --git a/Software/VNA_embedded/Application/VNA.cpp b/Software/VNA_embedded/Application/VNA.cpp index fb6364d..8d74732 100644 --- a/Software/VNA_embedded/Application/VNA.cpp +++ b/Software/VNA_embedded/Application/VNA.cpp @@ -202,6 +202,11 @@ bool VNA::MeasurementDone(const FPGA::SamplingResult &result) { if(!active) { return false; } + if(result.pointNum != pointCnt || !result.activePort != excitingPort1) { + LOG_WARN("Indicated point does not match (%u != %u, %d != %d)", result.pointNum, pointCnt, result.activePort, !excitingPort1); + FPGA::AbortSweep(); + return false; + } // normal sweep mode auto port1_raw = std::complex(result.P1I, result.P1Q); auto port2_raw = std::complex(result.P2I, result.P2Q);