From b9f3c694d10942c4c5073b349b7ecaf201adbde5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Jan=20K=C3=A4berich?= Date: Sat, 25 May 2024 20:19:20 +0200 Subject: [PATCH] WIP: preparations for ADC rate switching --- FPGA/VNA/SPIConfig.vhd | 20 +- FPGA/VNA/Sweep.vhd | 19 +- FPGA/VNA/VNA.gise | 15 +- FPGA/VNA/top.bin | Bin 341436 -> 341712 bytes FPGA/VNA/top.vhd | 54 +++- .../Application/Drivers/FPGA/FPGA.cpp | 4 +- .../Application/Drivers/FPGA/FPGA.hpp | 25 +- Software/VNA_embedded/Application/Manual.cpp | 2 +- .../Application/SpectrumAnalyzer.cpp | 2 +- Software/VNA_embedded/Application/VNA.cpp | 240 +++++++++++++++--- 10 files changed, 315 insertions(+), 66 deletions(-) diff --git a/FPGA/VNA/SPIConfig.vhd b/FPGA/VNA/SPIConfig.vhd index 02077ce..5a671e9 100644 --- a/FPGA/VNA/SPIConfig.vhd +++ b/FPGA/VNA/SPIConfig.vhd @@ -85,7 +85,17 @@ entity SPICommands is DFT_NEXT_OUTPUT : out STD_LOGIC; DFT_ENABLE : out STD_LOGIC; - DEBUG_STATUS : in STD_LOGIC_VECTOR(10 downto 0)); + DEBUG_STATUS : in STD_LOGIC_VECTOR(10 downto 0); + + ADC_PRESCALER_ALT1 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT1 : out STD_LOGIC_VECTOR(11 downto 0); + ADC_PRESCALER_ALT2 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT2 : out STD_LOGIC_VECTOR(11 downto 0); + ADC_PRESCALER_ALT3 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT3 : out STD_LOGIC_VECTOR(11 downto 0); + ADC_PRESCALER_ALT4 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT4 : out STD_LOGIC_VECTOR(11 downto 0) + ); end SPICommands; architecture Behavioral of SPICommands is @@ -270,6 +280,14 @@ begin when 15 => MAX2871_DEF_4(31 downto 16) <= spi_buf_out; when 18 => DFT_BIN1_PHASEINC <= spi_buf_out; when 19 => DFT_DIFFBIN_PHASEINC <= spi_buf_out; + when 24 => ADC_PRESCALER_ALT1 <= spi_buf_out(7 downto 0); + when 25 => ADC_PHASEINC_ALT1 <= spi_buf_out(11 downto 0); + when 26 => ADC_PRESCALER_ALT2 <= spi_buf_out(7 downto 0); + when 27 => ADC_PHASEINC_ALT2 <= spi_buf_out(11 downto 0); + when 28 => ADC_PRESCALER_ALT3 <= spi_buf_out(7 downto 0); + when 29 => ADC_PHASEINC_ALT3 <= spi_buf_out(11 downto 0); + when 30 => ADC_PRESCALER_ALT4 <= spi_buf_out(7 downto 0); + when 31 => ADC_PHASEINC_ALT4 <= spi_buf_out(11 downto 0); when others => end case; selected_register <= selected_register + 1; diff --git a/FPGA/VNA/Sweep.vhd b/FPGA/VNA/Sweep.vhd index ba14819..7e3a21f 100644 --- a/FPGA/VNA/Sweep.vhd +++ b/FPGA/VNA/Sweep.vhd @@ -82,6 +82,8 @@ entity Sweep is SOURCE_CE : out STD_LOGIC; + ADC_SEL : out STD_LOGIC_VECTOR(2 downto 0); + -- Debug signals DEBUG_STATUS : out STD_LOGIC_VECTOR (10 downto 0); RESULT_INDEX : out STD_LOGIC_VECTOR (15 downto 0) @@ -124,15 +126,16 @@ begin SOURCE_FILTER <= config_reg(89 downto 88); BAND_SELECT <= config_reg(48); SOURCE_CE <= source_active; + ADC_SEL <= config_reg(92 downto 90); - NSAMPLES <= USER_NSAMPLES when config_reg(92 downto 90) = "000" else - std_logic_vector(to_unsigned(6, 13)) when config_reg(92 downto 90) = "001" else - std_logic_vector(to_unsigned(19, 13)) when config_reg(92 downto 90) = "010" else - std_logic_vector(to_unsigned(57, 13)) when config_reg(92 downto 90) = "011" else - std_logic_vector(to_unsigned(190, 13)) when config_reg(92 downto 90) = "100" else - std_logic_vector(to_unsigned(571, 13)) when config_reg(92 downto 90) = "101" else - std_logic_vector(to_unsigned(1904, 13)) when config_reg(92 downto 90) = "110" else - std_logic_vector(to_unsigned(5712, 13)); + NSAMPLES <= USER_NSAMPLES;-- when config_reg(92 downto 90) = "000" else + --std_logic_vector(to_unsigned(6, 13)) when config_reg(92 downto 90) = "001" else + --std_logic_vector(to_unsigned(19, 13)) when config_reg(92 downto 90) = "010" else + --std_logic_vector(to_unsigned(57, 13)) when config_reg(92 downto 90) = "011" else + --std_logic_vector(to_unsigned(190, 13)) when config_reg(92 downto 90) = "100" else + --std_logic_vector(to_unsigned(571, 13)) when config_reg(92 downto 90) = "101" else + --std_logic_vector(to_unsigned(1904, 13)) when config_reg(92 downto 90) = "110" else + --std_logic_vector(to_unsigned(5712, 13)); DEBUG_STATUS(10 downto 7) <= "0000" when state = TriggerSetup else "0001" when state = SettingUp else diff --git a/FPGA/VNA/VNA.gise b/FPGA/VNA/VNA.gise index 1766d7a..0860140 100644 --- a/FPGA/VNA/VNA.gise +++ b/FPGA/VNA/VNA.gise @@ -178,6 +178,7 @@ + @@ -257,7 +258,7 @@ - + @@ -286,7 +287,7 @@ - + @@ -308,7 +309,7 @@ - + @@ -317,7 +318,7 @@ - + @@ -331,7 +332,7 @@ - + @@ -345,7 +346,7 @@ - + @@ -398,7 +399,7 @@ - + diff --git a/FPGA/VNA/top.bin b/FPGA/VNA/top.bin index c7fda7e75ee436ab1590d137f82bc389fb5b1c32..d9b2cc06b3a71c607e7d7f12efde1b274d6e4ce0 100644 GIT binary patch literal 341712 zcmeFaf2cIedFNN%(|vExt5-TRMn+m3Hq}Oiifi1nl1Idj-K`$sZo10q^jwhzRhyeKPU^w)AN_4q}OgD*I+1O)=NWkXhQ zA$!)>*O9JXYx4P4bVS-!*ON#}5#Gz}Y{)lK;<7l+=5WzN+ZimE5bcvOQ+g!#mV=2!0>$k>5oxwSa3moqws| zGc)WZDfpJ&`Im^jOMce^Sirq&h39U8;we+COis+vQ^x+`jF$bt)$%`Z4T1uLR*k1^ z9M4{gq)npppFPEQQ+U?`?^@vBRSURQ@y>69A8!43y}ub2@NF?W|NC0%Ziw6Y-`C9B z%!;%BC(*~|B*tos)A`K&(u$RzhIYY)b)P*!cT>-bBIY6 zc3|_BRtD_xSyfM!^Ey&WtxjlmQySdVO!G--m-V`##p)R|MYq+ytP;6qn`j%mSCq@a zN7ic)Wr7(wAMhf_f9mtkbLTR;K5e$}Ks*2hd!SW{yeo%-1H2pN*;7F|m>X&G#HU)!@yL)-W~=C;=*=rUH!PqVw!c-8>cw za`MI;m4j+wjw;V(fgtCj}pVw+& zKJkhD{iQiLIG7)7pEA!s(;uP~Z++(Q@HO+=a(M9Cfz*2KwY}x{SG`-G84QMIxYFS6 zU332Z)xenBKdl_=SIy5gT&rtiLfE`&UTl)*q>EA{;Wg}PW=r!+zqFPx=FW2O;PuzP z`qe(|x#5|vyW4O<1G9JF{n633+;a%0O2b`9=+2$Jy)OcNMqPjDOa1Wo7T0y4{!+b> zqb7#Lo3FVubAmFs)9CX>DnSsdhvIn#3zPa z9i1D{9nLh;7O6?1N%M)Z(2fd~Fpr~UbyPja1=y{O4Fgb%w2saV=tXBn*<#L9GbtD| z5S}=C^W(~;;zJ0W3Df{!jX55WnyNk#0ZQ}HSHYK-_Pw|vNWg%Vbf z;hIb;a&($>K2&8YZVTAvF|flT2~ijx4AN7(aK@Z8#*!wKHYr)0fy1b-=EkLI)i}%9 zLl+}$5?#&uoed>FOO=Am+~CT898{(nIx{}=M?OV%>`kenkDaFC087{=9Cfq{oK3kdbZQ18Gvug3Q=!;pOmw^c1tyWHdliWL?in{oY3u$xPi?#U=g%_%5ttAKtc zKS_);DQ;;_+$gPSG0ZfiTr4V+Vvq=mpevXx8Lwo~55b<}dbm)H4F{I=j?HM~n!=?M zH&3Z^=t@yOY=bU#?ux{v=4^gaLCVfd?c6DPv>@@W_EXbj8DadEd#P7pf?&v+5;_lH zCseY~b#tPL#B+d4k$2S5k(v3C?w`J3n#VEj--H;Z5|k1qk73ZuVBoT}GJ|H39~Z}F z?2H?qC>C2#N7yqh-5?#9(Qt7R_@nC76$7u9Qh7Vey_(1-_%_)2o7^2CiFwKPz2Polxzo?)!; zcK-I;Qt*{m9^HKO(MKN@-iNn8^0ja`TJC-Hqo4ikul<@ad&`46cb0d;h+C2e4-X!` z@rHb3KKD7LsUz69pU@7x(;yEy+`j$j<`?;G3w9cun~#38(EqUd_bJH-^Th69?^cNMgCvKk8U;(nzaUbtd&j!)s8vXJGg_>JZc_cC1Tt-xbZX^WIYAUM?SJV zzj^Z!DH`+EgSS>1y#4m!{@;1?@4PAg{#y^AV;W9J`40g3z*6>l8gQ#fANk0hxpCv2 zcSP8Ku)oqE&ky%s`sPdD6u;BJv>NOm?jObko@RW{wSEP*!?21qgDm438t;c$^g4d) zZy0Zj7lU>u^)ljUgTYL0Qk(8p<~MjTVDVf;pQ}4-Q&T@7oQz%RDRAs_AdsbY05?px z12=zQT+?m?5(#!VXa3CQ1@ zOdW(t$RZ0ajt@UW*mJh|)FlVg-~1MKo>4Ej`C?frxUc8C0gE~6s0eVIG9bQL%K?4FgZ@B zld`66NAz3iqap07X zbG*uwSw=&gRz5*Bs>mc3x?m6tUIv^p*?}7D5MmZ;D9|^Y**Y|*o6UfSmNqMkO7yd- zDP55|O}`|AX_{tf*^ua*&Z8Nnqj@@a(fq}997hQnGsBb`@(6Wci4t+JOP~>5wOg8@ zCR0+%X{LKw9;(9AN)*uP2X3$(DK2~^{4p>#s)WSRZ1TkAS*ozh&L(CtvBXWx zVgOJ41=kC9t%yIc*hAGW0ibD3Zy0lh*1(mPn3KSiz@^~O*407%#biX%COIyV#*|k2 z6J8vpsEC}kn!Ye&OJHOYW;a@BIz+P?tHvQaTm!r*7YiptR*Q*0()l;DsUi=;Xw(~8 z$xIqli}6tWBBwnvUM|qL1>YenodThx9v%w|>iW1D|4k-nQ_D=*56~C)TXWiaIzQ`s+&F#mr+uYTR1qr%onyD)? zF03Pxm5KlhRNSX5(VwanSe2jDF?gN~hPm;zsm+W#BcF#|k%!4Uu#s)C*`Rs2(Ogc7e6Q9nv2ZB?L>8VNT0#l{&S> z^k{xYMiOIRr@WfNSkB=D%O@i+;9`CuM&Pwhvks)jGj21PGesS!oC`ohm%|m-rr5?{ zf6}+2Q}sjbV|F+%!2D&&?v)jk&B~x&_38U(DaD`&l=3#Fo?9{ z#Lj7Z^O3aA-PBExZqg)Om{XUr4w9G*iCLMB=U7ER=haaNhHwLVEhg6%>=r@`=>qt^ zhhISFlpR~d)EGTf#Ja^;E|JVUTBzsB$~b!MxOq?E;C*z(+<`J)N(@OkhnkGlFdy{mo@hT@@|9 zsz!rIg_}CGR45E_s0AmH(TS!_SfYa(fF`*U=AFcRi%lvf4MgTgXc5Ugqxj0D(bxyb z5*PT5Nu?{in2DQcm+!#NMi5fQdc@cXYBeFHom}$Air$hd(*pR`6Q;;khGm9@3W1)} zLOeg6ZlV1v7NZw$Pn-}!aydU&#uYIFCNhOxpC%oB?Nbo}qIqn$Lzq?|aKp}gL9Qsv zk(Fv;LSf{`aUog?WThl6$5TMaJm!=nZT!}k*Q?uvvOvGh^d-tMdTL0@qw=TP?tib&E z$M=?>F`rqovv%)4=!-uoehm#ml4=4Q&EVr7H|Mv^t)=-jmL8u0Sa00Rf606yH#he3 z|7^>@$$Dc)Z{^IZ=DiK;kYw4eH-hdPN7$J6vEWz-tnX;o?|UO5ob{lc-xp)0_|Eyc zC@i0avf{LUq(^C1QQK%N`0kxQSUzZjA!c84O$W0fl!Ys4xQF^c*mTw!MEYeKtXc^g zmAv@9& z`Pb9n{qO(7n>TLUYzO+!ra@AL3gLEVSDLzj)gn}lDs6SEa{+_lLaQTgjgan!fDNvk z%yQY@fGh5aGz+rWhA?c7i#+t=ZOeYl1uv0UkfpoXMtMSS(wFxpEkZa@OBIUe4vSVM z-WzQ{LcnDWIxQMbDu#}0LzjKf-qBlgrb@SBuX;J^qpU2lolUUCR!jvoeX#2iz-Y}y zU9D2Br9A_IDa#B>nl3nQz{*5CezlvLnVSW>%yL^=XhGQ{xig&H83%d|z^rLuqqPyA zGSCm>4Q6(xcCJ!t$E<31JhPe*i1n5s4|irB;2Nbc|(+7W{j_E z_Mckg37SN%GWWvfR!L%7l3EN(NbH zbH?ioUaZnxfm*~)ShamqlK{Y}$3pX&Dbv(CGp)^t^FTA5G_VOJJQ};nw3w$&s#%&z zsy*eb(6UnjGZdE5mIG@Wl@fe%NED@!Q_GnTG_xT^8<#SLFb_oN0nrEnTr?ntl5u@z z&7_$`2HpiuK2c#kz76ccmHR+jQ z&n^i8U*JvNB$c4+rST&XrvGOJ);2=9$q$IoI$Q;AZIV7jc%ap@$5Eytn zW)+xSyj;v?xi49%ck7Cl&{aSy#-ci!MjJa=b2q^^iLN(!#*jTJPO!Q1Y}&&yM>;`H z;Ryl>+d5s6aHl_^p*X50gL6%=u_)6i5ozV~7@p7FbXpCIxvjITDAA>1mJB^HR2KX* zGYRuPY6y-BrW#Col$;{U7;+~l`URlI2E^oq)assN)b5dysX>a&;|+Z=tQoaKaO7@s zZ!8jHL>zKdmq^B2HH~pm>)alO8iU&dZFmXYjvh^@-YFn(!`Kj=(RKrJ8(Vx+4a$l= zxhi-85k<`e9@+Y~MGQEDD`VL0-muGeP_aZl%02pBACf4#_An_^Aw#O33#RsEOyQ}5 zqK;utfJv;PDH4sq%w`E|_*|xF5-L$!KN7hHF!sJBu{Z_~{zUC7gsaw%{M%b{3eMV? z(=x=kLEJ7Sv7ZRk8`oGv{sXo_c6)IT&EZn??g)Kx4OqgUCG_FJzWn6}%QwxNfp(o; zsk>C$(qOe4c;D<_O9OM~CG%3yU{~sor)dnN=+a{^fa2`k(Kg#pe>&1tHu3MUF}9P9 z*mBA4(nm2DNj;4fHZ@=aa7Ydf$m^w-V$LG%*1(uYH@opm)Z@BtewVRw7#lNoX3X4; zp=)EpUfphF{G+brn@!)C?Onb(qwB9#<_i}zc-6dM+OhLCFOGDXf}pjnL8j!-rr5Yk z{LY;?8az0Na#$&tX}R2^PN*3~ADKr>?e^V&YyYivN^9)l;1`b8p*41u20Z3^$DA+G z?V<)<3ati+Hl|r>@9zHoTRSya?!EG*F4l%0%MxmMO^-HESi9-vM|W>8`kh8E7MPXmD_Fl?EEscN)Z9zKrHCv4P5Q0X%nKj=HGB8cyxQvX6EjawlJ|LzmngeTeOK8HCGi(gs3sdgz+C!gN2m>{emPSR>S9uJNQ&aHD0MmeAWx$^_Mn zZ%7)@S?gq+W zjj~wdDEFCRy%v{MT}DBg~is z6oJeWE#nlt05t{hsi%<@HDdwp%IQ?=8a%{9*~i)}Ihu@A=9(L}_5=FN7e$d~UJrIn zHxqQ5K`8^+;9lj(0y4F<9hlM-mN|m7p>b-;GUh$0)MaQw#k01@fQ|lo-~78#+mRXo1)CjHnPDYzzY@ADv17rW{xm2=|0VC03n1WNOE;%~+xllt-73YiU<_ zOspJB+>P86Z&>;Cc+9oin#`VJ^@UM?lulwgoYWG~sNuL^pr7Of5dor#Rlv6#+4ixJ zwh}>aOK*1Spv=d{I(uvzjo;KMb!=g7+Zf5ldK+47MZ2L!rYd)dr4)GwSVx>)U{jUX zaB4eiISHAh$Q>t0lVvmLLrf2m>r8l#w`LeSVZlwYGBS43nh`d9{RGhs=rtXhpAVwZ zT{kG5?*aq>*P7abkJLVF&4BTQkSaqKQ_ObjEPC07p!5sSub?0wV+9`eO+b)sSi)qd zv4T{4$+ShnR`p?=)uin=^xOkq^A0(?`-##aeJ;WiHL}H`A!q!Yvg1$1r>@RM#v*4Hia)Bkay>g#3o|~M*}O9#wcC8bGfx3DJ_2>0s| zPYh(k(;^Lg9y6(!qHqhBSGpoTGqAH3_{Ffp7XQ{PhHtg>&cBrZ%nJO_B(VSCyI1P+ zIR2H9ugCo9t2Ho><6keAol-PAooQRp5T5E%AYR>=DR;|Uy-2PWqD{TLshkdU6V+@} z2V6IR7#1Gxt@xf}12RX@I%DPOE?nz25i=Ju%DOYIHdz|PRyjEdbYS6e743qp-@j`| zp52ll*aT8kx#g})BDHjawhW}Ih;rW~yVyBuDMRKNxwHjKWMw2;W0Q@fBl%J{6hCs~ zT^yXu^PX|LxJ z5XxAk2*_`2@Ss0UOsUGZqNl1Pz_6DJf8H ziKIiX^W%nx|m3ejZ94T#z1w@v+9CCh>u+?rIM3T{WYgi18I6q1gkiU-h%gIq~A052}WBHS!Z zCSE~jIarqRWwe*mlf zmROg=b8J9jRYop3bfq{=QY;%oYbm9{%A?vyt69sNa4ndUJW1Hl`&-Akh*4WxkTjV! ztQ;5&m4u?58CeRn2|<`uTBnx&tFa;n=zImhHQArcX9K4Vj$7b1!hR#9K;?CUdrzeo ztYc9J8KZigiKcWCU>@VhgGnN{^teP*g>_I=R))>Tl|Aw^qF)5xkw7}U^NmIlr04a1 zoUkSZ7|Sd_F+l@XgF$)YG!A3e#*FL2RLY?rsi@17S7tn zDV=pA3Uap5G$Uk(Ui17c)ftsdlz`RQA0Pz5D&(w95<7Hfk+XL57R}bA4UCBuT7mVB znwPATsc8gMjPV7r5>LR-d7=Qa&R+}1WHDVZGbl!byr7?5m4&V@kT$`ZvL!H72(YP4 z1&tH59FD9Vj`C`pb8TS%^k^L8CDov83O{z`$fb6e<|yaXUV-3H|HL1d)A^hUfpTXp zNLbJ{f*5Mu1fN4T=5$PCbm0VGSoz$0v{N=YW>bAq1*T+xwL@Tjdd9OdWuS@Khx=_{ z+waJF<`3R|@jG8=+(FA!!Vb=@5Lq!Wd*t7beqii$w94=#t3z7t(IL18#88-~kHjsP zMT!qC9I9+gag{7lj?)NoVo@;xiC|79kp~q>kJ1@>{PSa#J^SM%k{VyrNYAGuxymU~NT0ZO;Wwo|REC51Edzdht_!5{5-+x|0Yz(UaDHQ+r-(%|8C&g_6K zxO&t-YJQ?%FWDF1%kFh2m$Sc>HM%c!Z|1?@`&z=PV7uyvT)R`YTlFKw_i6o5DUh;a z-u&8o3BT%ovVrilaPZ2(4aL=3-qBa}?kJ4TABWXA-X*i_BtnY}9B~P8y!av;c$eQ@ zKKREsej*T`!s*i2TAqL1zrNN$>w!0JT%keO^!w=1zvTk8{KLJ&r^q}+(R$`L%{N2Y zZoG4Y_ve7FN=l1cN+Pa}?(Ox@>_7N}_|~cV8{hawef#xKJ+%fDwbQ_y>+R2d4Ok6a z-a#U+jc#htUFF_J19Q%@>;?4n(7u$%Lzo-)WL@&sk&^5dt9vA!_%?nP?p_)@0Spsx)0Wk=zB+Glmyn|vtW9EwX}cgSIN`W=gA@XiHvmt+8z)n+egwf-Z2uT{|j);$y;-8bG*B3Ec;K4TRqQAOC;sE;HS3F&#Q?=zy`q>#uC=C0j?KfUPvcE3$AVHU07D2R&2b` zuBl+qC?&2y*Ui*f2p!3*DJBHFL#!T%9GR=kdt6j8u!?z!knOFm}x!Gr!`;4I{{7$a=mtKv&fS z#$+{cHpXha*t&F*>+sn4lE=xl^)?Hn3(ndyO^+vGw>p@=n_O2>YnZNWc?Os$}bHE+fg-H7{TFr+P;g^z7>0@nXftV-Wn!| zyJ2=K+LGI2&pGHYZ6M=`Qf9vvP~$+Ayb}F@v(K?5VYtS6#;n zZuzZe%T(v9R_xjJiwnrFvKf09@}aTN2nI&aai&J^tVg*7J>}hB$BfZ+p_(Q;gy2zL zlQhQith7#D9=hP#P*Aiv(>~^mCHs1|D{7b8{repj{Vp8*X{oXIt?rvOt>iEEV$e7x zsZm9U(PKrlf^p%L|3A!)cYgL~FUVd(Kr-~tAH4aMRX_cr=;!YI3i}lkF~aC%x%}h* z;SR9B-n#m z{A;=S!suf!z7Y1b8H_G?bwBQqef53+(PcYj5150H=r_L^2D(BwV1NJc@XnpXa5dB0 zY`^uLZ+&M&AO!f1iiXJneub9T;defL`%_fPA1l1K$D0*b5Y`4mh;iq+kjpjL-(P9K z+iZ*X6z^FjvqCmCc(B&s&h6Ws2FvBchpPxrh#xFo*z>m@?Km5G+;v%h z@k?LaPWW)|v#;E_^U5oMZhI}kZ%bYse*gOq2SxGTxZ`Ey zEEjv@hWl6L2mqJd$NJ=mt3)GGUPHP@FJLY2^2<~&vd*a8f}We9=T{?sF z@$IU=N$Dle-b`q8kJZ5fG!N0qPY8Focx#BIb`UH-T{A2CMB5#SWEEkB4dMWy&|5@S zs+7X%5jUo^6w1)SI%Q11I19alr~}FsGmD(#h+wVJ^0b$l6Dm}o9)iQ2YQ;EXgzN*k z=U53*Dz7r69!zlnOB(?3{A5sV#mF)X4y4f=WFEwEMDPhc$pq0rlaStBf(B4b=5ffO zjjKQl6V{I>Lte&Yhm(^bJ-su2HD!>ov4iq+N>#cUI5S)Yr^U3a@mY!r__jWCqy3NVQyAQc2PK@JOwMs{kkR6_tLqtXfV ze4S$CwaK>u#SJ-TVJ)w2oK5L(2d;xjo@wTX-g;Xme#!!)<7YFgW~x=>9%vrORH8dk zHDc2_GjgiJ4pI&6Ho=n*2`7kZ*6$c@RKUQ2n%6!XWxVNXf zttuxhfa@}*w{Ypo7M0s*a?r^?R*?lM9{> z6)~Llc4Fr~vvxdpHZzSZlF%ZOTtY1rS=pg0U-}2&!A93oi3r$O9SCgp@gtY+f2ig* zioAxTG9E!*Fc-{Pyo&0gl0nEdG-4js4S}AM(Qc*XpgJ0|={SIN(g@7rkE(KH*`p{% zEKS&BK!_&{L|lW{ywj-}9T#WbGl~zK=n6fK=$as+z!~p3mB-$pKy?(dak`e|Jf~O; z3SWqBF?FmPucl`i%4;otV0`Tn-r-gbF_oBA&zyHIhRpAGRF)fJ1A&6$0ytO zDwA8!W6D|Xm@MYC3sn?%N^?e2V;a@E{A5_Uz_2?1Q5m)xMVOBj$F~Q1=uNj{j#(g0 z%4j75#5yu(tiEqUoPsme>6jwxv7!i-btQYm2Gx8xsI=DJHpGgo1Km56iEn2>C%~)m z;9>%P%sFiXT;QSxvSdvaF7Pc!3$nXvocDT5`xPWsD0CU#L@8mE-ek0cRJ<9FzX*a% zz@npPrdK)i==bj{5T0kPgoWT;{54(V7@oF#Bm+BeI+PfJ7-Mcogg`GwjJN5kC%-ui zXoA2+`ewW?#JKe3!K2M2mXAL3*^V#&;jP|yq0d<1yEG7UlfDw)Ko}RlF?h6h9*E&y zK)eIq+|&bWdx0Myx?`|$xI9!bcUtH&`h^nQd4q4^#1c1*69e|Q?tL~=kp9khyno^6 zzrDB+QG~&AaH*kJDeR2dBi?y~@$yQ8*LG@P4weslQ3Be{6R?*4Gr42!J+8GI(Z9xd z+R-~X@tcIGozK-FuHKJcwJ#dI=jvlGrg=V*#0%#0;mQ>=y?gtGCXPFk?TbS5hv&-! zo|nDx&f#IFq24{EZ<;>3$#-xbz4T^Be>;D~muMcc%FKspHr`FuNn{;@|Db(lc}4oV z`9CA>SEPXK?H?ZSZ1s(IG}qLEG~hd~k8a++-86j-c&hneaHy~196T@&I`K{4*6nkt zyENFoPPI|BmxYf@Z>0D|^F?fPXYb+iw|~2zL-*~P+sk)$w33I*1M}cl9{fr_Mu%Hw zb}mtXSDi_N%`ycI%pDk;8koKFkn?TBCV&f|v*0QXwjE9SGTwX@Li zJSi4A*ZHP`r@KJ_C;8aIECg#3dB%EfOY~d}leZ)^73~64Z5lmB$qkqw3?;zLq@fj) zDfc-(#91ICQ9vsRuq!$U-YRAY1Sr z;Lpi&>GOG_O)eq|b1BCCt5jPz9!!5m-OY*qk_4Q+yGpk?ImWgjJV zS>ut}FF@466FcLG39#(QPg4hiE*eF+1QKhx3^eL0rj4qBmg$Js&|;WSGD>*FqhyeB z7_t!0)3xblggRiUHwF{EfYlp6Z8>UZvfz{&>}Wb;Vl$K0UN+|CXT+Oo^p1Q@<(#>i zh*L6C_cLT^6DScTW5=~?MxgBtiR_h?$#}KTAY4MMf)G3of-LlfJVK~d7o4QiS~TTh zF8{{B%A^FBMLCYT~KV@FE>g(oljxp28vt)2dBheM$z6r~(_@ z*2z;Bu~xVxXTC*jcMiAV;OV*bQNfhx91qgk`4ZPQQ(j?WP# z6PEWF5OBccOR|=;(PT~0aP7&0z2_kfV(?(a#8EsRy{Q>_Oput(tmw}2sCrx8W3rP(&w8M>Wvfg7{cXIX)gkMovFK0yuF96mW4sH~=cuYgd33)kDxB z@J)y(D(%4snjAf_Jt648bp%B`+VIve)ZpC&Tpz1b=wrolRC&e+Vz^>*rK}`ROW|c& z@bq3I@8?_(onO>YONGUdkDGBQsMaJuKuucBuo14B;MoTr!N-8%k*Jh9n1;$gY3dy# zEkN{2`$U9PZkE($I&S7Q+D%O}&*!J?qF$i04PmkkzM~Rr_}19cS{thn9&h<)GgjKD zbdse7O-z9b5fY0Q%+M-#JD<;|O@r7`hKl^8m8r3nCJNkyGR#S-GG~+1bTLG`W9HE z`3eS1B}e-+$J0eCE=v5TWP`zx17y?)ei&MTF_v@zPknRn3bZq>Ib+^Am0B)>Ecg3N z^ppr^<|HH00bxgs@Tz+Jv2PIIEe%bb`7HCKNi6Pr-hqoBedaa12rV>bYF(CgK~$W$ z)Y++>HdDqhW`2p(rYUlOMNAJ9&FU&GHeBM(~3J#*q&`{dE?+8;+Z-Y3|9-v1lJON|{X78t5H3FTL3` z@zvT+*WrmnJ<8&VwfX?7>;_-TTV> zzqER15UbPX?R-6Yi&kL4@bJ#QqJC$YvHQFKjokgiJ@cRb?K|dI*sUx7|Nh|n-~a7z z=eg+byv<90wF0bVUEX}P&wHd8R}aJBE%4 zT;lFAIDYG`m)=}H{J;n9EI;|lPVB8EO^OK{g@5m7`nAzX{210gf8*jF{m56Fx*qW6 zse}Ffm)<_GGu2&u!Z$D zUdoJNFwLWDy{%m#HrLt!HuGFvL3NBc`jGh0h`EdczWrHzE?$?^L6WzkC$_en2N7~~ z%dBlfEv*eDK10d%g>^&7p%ym}BmfE)ehIE_h{|dUS`nYR!0^G{<)}1mI3BVg1?kKL zz6}|67+@Xd9#jH;t0@>bikn*)5v+P%N>ZHN&>*cxOv}Jlv+-a)xI1I`m{wu4Ox@&Y zx*Ab%Gj$;>)dWStJV(-*SJ~J=SMSsZ3@4nb__dTMO^(u3rG zHyEL&1VBu1&DRoY+MF@f#*|9Kvn&M#>!1O#vI%==rWAJt>2%Mz<1kcII;TaS+ylvp9(J78HMl_Bf={gSHXI*qYsKBx1S&F1B+z zHCvGE8RFr5Zs2Kz(6A0IKCAm%;G9jfx<&<^k`YLeI6*7z8c)-K2JP0(3r(u&3KrCy zOsy`msl*w!;|2&VD`j#)DoiAw$9_1u? zRW`D@1Q>PQB(l0(dt*9gW7vX27?!LRmUa>t}7|$}U10y_oU>1+=q* zk*`Xy0v|BBK9jqKX{is0(e_%HO-@N6AJk{#vNG6n8xjbcr-(p=7a$Rysp>j9{#{9S zVac_y#sYg=g7CEL$L!*D{&(N^=dI;Ciu^b-`&HJy{+s`vo;f3f7rwpbKh#QA7`J@> z^TvF>x2|>X9s}ox5C1^2d*`e}laN-UA3ns7gSd4vEp{ypK4;9gWtkSFf!?-D?)Nq| z(8#h|^_2!Hi8Rn?DU7Jw6n=QTd-wMC9`e}uwnoujU;LsmZ@_B~dAINzluiX|Z7Hk1 z7TWM?z91xW)BM+1r33L4Nxm#03vIy?>+G@BcnhKifB)|r^D^-co2WsI9(gan+-abc z`}XZW{T^hACjSx*UbTCM_2_P8yIaTR8S)ozzV}C(!JiFYd?R6O{%3<17<)_Z#r(aa z-yci{Mq_n47XkBNExc-es%ftVH%&+(xfxT)Z{|I@PW~~zo-*gf=Q~EImqmR2z?&Os zTz8ht?H64l|A5QVx4yOfy6`6fJ!P&%?(4^q2FBE1=wjUAvh?pAnuC|31{d2wCwPUw z_e3^ee&gJHx4oQ@>Q5hJ=2NVAXW-}OlZ`d>D>B_=9h^Ub4T1)n@s0V1s1RT>*=DW6 z-ulus{J0NusOlOoEZ|;mR<%Rx17VlLz{En=aRK}V$_By4ko6%21xhRysF^1eQk4nk z^|}|;=$>p+XN4qO$?(jn3_X%0MQ(11nQ%CP+iaL1(x)f(?Gh8woSclkCHSAB{I zrSaq)P3%JFQj-!LL@aVU+Lb=GbM1q8L zZ{38N6}MhtAkJiMbWJB*1ic;5v2_IfWVqqPW$B5;SV)?h3|buqk%?#>Xi&KVx573N z$`$NL)rO>_1?;8}p@9s@_m@CJCX!<+z^;c6vP)FYK&`+5D<`JugaVQ>Hnf<4^-~bR z%UM}A1cH+Ww+?P1H#jCq(4QO#Re>j4Y!n@8jN7s=^^!AMnRe;`G4V}NPtdibkYX8% zX2*Pfi}z2#I%><>bQj20`ijQaN<`11W6rf6FfZ#;f&>AuR+3_g~OOPNlp?`lSJ+1X~3-` zU9dyl%;A!I5j05%xKLkV#k;LKQK8d1dax1b(YMf=aMG1>i`NFdPB)FpRSjt%vhilX zv_Xc^RSm^Bb7E#=8`yFzt;?Eg)k=#uq(TVV>0u)ELnVe~`Ly z?poO=@j|*p2!->zVkUj!DeoB2N4eO04xKA1brfzAssfRo7;0*uRyBvns`3lK*g8P) z!GmA*d~v&Q6n!FBNfM#;c1G>Kw<6|u+r7>^1)qwzzyDjmrHG8^A6}L~_stpczXuu| z{?=EO@>Lof?jP<;=6eJAvTKT$r~kdx;2$mj(dAi-!_(#)W9Rn$YK)QJ^EF*a+TGzA zTZeF$TKBYi7rxwo)x@XGl~UX_ez`21gFB3Ify2X3SFeBd^{;;V(_hMOKHB4}ONaa2 zPU$Xz-7B#j_bR_TCr3Mkx}5@p!KW)GFppk(=}WmeAH1dg1WZ9}jC}v){>B#X9jRSH z;@03>R_CuJIT^z!b@|KYm%B25>s#LmPugoA`&#n4Sn-m+^3{WIZFHA*FIF17k1tiX zWiE>MgeUF42=r3ACW;1EN-`qmCy;B^JzG&?2Uh$hP@@cJ8)M_X)ZKJ1JM}ugqHlq2 za)1rwB)D?y3cG-~3zY96!9OPLi~McMcKFH!ow_MFJ+Li4ZT;9i?gZvLEaf_8>+d?@ zHbu&fV0eEql$JUx+63a;an>hMtnD3jyQv~6*^Tsf1P0u=>6I4hyEwx&koz#*)P^A7 zIK*kc!&GZ^dObuFrwevDdkB@N9V8ucnIHNNFk>&#sH4iPK%{D#LcNQI{)H56IHq7) z9o7ceIE^7$Aa_ARQrCqdVHArkLIardR%D*s2D&q$ zzK{+}ffr~`NSINE36E&kvg2TCWAu5+8hF~)frTGSTI$qLeKX$XW5Tq9_EZrq+Cs5p zqswY9rr&qto1^=b7Q|$AkIkq%zhMXsT-A zIk^{=g>S?^Iv&)#;N93`0(ImYKB!a`NU*K9s`!+Tw@9SNp4UkcgA^VE?2O=JPxGRx zruf6OSvY()HV@7gytdSm9bvvWP#xiq?eW4wKk|#Cz^Bo+5<}@MiF_roK++@eSV)u| zCQtYdP+2URQ!P)sxkU}lgeUMzJov}#!&o%f<0x~}j!*hX&_EI!B%CA$l5lMbkI}$U zk1iWXYB8C!VyrNM8Xw$%FPsZ45dLJvpSS!@BAm7x5=x=Fwvf=J;0+s`z49s-G_!m` z&C~eE89IL8E8oC(W}Dwh)JRmMuuB5gBkG=3X{^L{V61&W|>^PbkO^c}$z`mLA z6BfW*JjbD}LJ<_|gY5^dkFRgOdN*>HAMu$7uiM;}nw1lwM{VWl(E>X@FA^hM?REva zTB_}$JWlA@`F5Se+BT6k(fy$Z+x+eDx<}VVavgG&U-pfiPum`rCgei~yl!I>h7Ixl zbyZ3`cy0%6lSC%9iLZhga=$C#vKlir##6&pkgcF-591>8!u}<_9kUPGdl@OgC8g^^ zX)O6$v``*3(bUUStBa$Qt|@dJ=_|l0TX2!GC2&b_I`t;wP)=>7)+&F18dbW4N5)0s z^LLBTw}>M#J(|`qVz4NoYeN&Vi|qlsIM}RnaHN58E$3om-HO(PcXZ8%)3_FgB@%A* zTnUaaK*C~BQGVSQ2ns6OHOw^H{lRxo1{?{{tC0r2>MfZM{OGMY< z72|1(QSbRV#2swn~U_<{GEg;heK8dSmo#N4g3>N2H3Yd#e=7 z5Pm^7=KCum2!V}opZ84o@_hN_<^S{9dtD-Ig1fgo|Es?^I5@wzeEp$c(eIrvKl`cA zeyR&UNB`V>`Sbp7trA279$tKJh6d-KJ@|z+{oc9x1@{YXMfmH4@NK7qPknW@e)Pf5 z?CstAZ~x8mwg2$7f0Obxp{}TWS?LM(D0Z=~NBe~<3^NvniV6iaJXrB*mX$Jr2H@pe(KL-Q*k4V7iFSl(&t$58CDclatw z53wu!aaMOL$%t$>NUQ1TtYpkuW&;uyv&p70xe1)P ziD7{xQL>(pU>aQM8N)N=yc%x8IA6aO`d07b9se@lsTnxB$FzMOiP5M%wOelYxPzXtCv1m|1@&!#d#P6^Lmpr>gR$oeyKU3xv7Z+8 zAtKrN+|-7h!c!3x4vdwrDJ-^Q7veAH@vt>^@v&gT-gNG#_fex+|B#@W;nQ)irv2_ODirJJ~DdQQr- zgk9Y$#?vFW+EIDyPj0oN`TH%s^V=LIEm=OS=LTiv0{!y8^_|VP;P!b>hU@89Tg-)&ZLwBt4-bk`(JvZ6i*gx#T^RWb($ zuPoC)f8ToIU@PtO<)8D7r9Jawd&|%6cyf44`jX(ze#KgY8#KntXDiN^Z}EIG^BH@~ zSDspfory*F+7O8v?%nWv+zY_P|-tlyJedj5x} z!}ZN*=~zFF25D5#t@Tv1TEUZaF;|hE2)U5MM*eM5n>71G89Y|_xt>3d&25)}-$jH* zrvr!!djZ|)XsGZwc$`Gr47QWuPIoChF3C$HJ_Tcy*K}9p?#2I8Ev0jXQT19L-mC?nw z#&u}$t+88SvxBlBvK82jzr}oppnHb6Kg?18`juX5q->($<`Lw%X`lJrUNJlW=~CGh>#`I#>0zSLh24Q>Ym~Sv(I=pFDQ`#FL7%rW zoxdIB>BE<$*rz+jz70qG#k?Xes#SRExMcL=S$*Xq|&t;;kX37$5xaa?$P){ah;xJ(c=Az8%sd04MO-RjOy1 zl!N7nSjd22%qY4r*ANwIv)jR6OR5qg_#V*)yyFmvd)<2}u{Rxs&uF(L)noa^EiruV zo!1)?0Q6?GCSVbdPoj?krQyj9eb+RAp9kzXh6j3ohYAWew*f0$6f_|xnTf}miO+8u zUaY_~_h-=bCONC0Wg|UNx-Pf;m~S*-Ol=fo0^U9^wkmO9gKB(Rdg>8~>1dbl$asE6 zR37k;Ra6`0O3F^TDF1oL#z7MgdZud!8kCk&P1vVvp{vx^&iH0m_{J6#KKH5yN;8J< zBs3{zka+eZOuoXl@i7(aP$q$O0i>V5K;0=DixpDY5o03_@l%fATabVa!)Jmggr>fR zG#XGwudA70$`M;4O{EMKX-4I!tCUsSAU+k!XX^(U5soM`-_I(E@8IZi!WlRk*l>o_ zvex^*Ip!n ze1enudQ=l^A$)gS$}BJpZy%U1PA%U{AC-&5@x2Mx$ra66O4;YSLrgnj@as0bXev7@ zW}~z@8Ks#`t3hpfTRR%Xr=WO`lO7o2MRGH8ny?9**FEVw-YwXLDJjFTUyKI1_kO$> zc+43LWs%WWp{N&N7%pM~4bE{Jp84?EC*lr_^~Rr7<|sc>gFTWdNFkOM^YB^$&tX)J zUWwRnSb_@(bB+Z#?+-j(#65BqAwM(&GdUXg5@QXpMF)Dt)JQ@+Y zM@T>|M+o!vE^GWjEg_-7Lrl*#S*G1v20{YP!lsRt( zqZ^PU^F=&w663fgSen0*4F}#PSX(=uLOXQ{>F@-=Y?e5_KA&|`q)?kte(DO#2jfmt zH^N4XAXZG|7@{zbL#8+6Vc-}icq^1ii zwXfGn49K#^$E$HXC4ewg&NJxqVYO=WW!uuRTOi+B{-^h z*C!_h*TD#tEeBk^2_sPseQqn`EHAVe&Cbq7r)DsyXc2q}Cl%eDj@2k!8`+}Q3Kj_| zr+nm1`Aw;ZW^Rq8u(CRks0`D@B!qDzQS>x$g-Kyz!b=mSP-QT!NfwfTE*l(&$aAb6 zVO5gwFv9)&P&wq^r~=9>2}~O4_v3R4;u~|TO@NYzu!7tlki2W|z99b=FD=}R(S?a0 zQsl}I{Wb-CrT7iL^(3h4Tep7G+`9GSKmO1DS)@ew|NDyKHZ{8Z*bbnsw=JOx? zP^Z)R^5Y->yMOn0ey0mx^j~|8FM6|^{xhF>;h%qIkCzu{XL}F!Na3~D*lo|tC4Oh` zclIRDx72$(<6q_*WJ@Cr%)@418hr3~?ysBN-p4=wp$~oe%e^GG(7Si_P4R<+4}S24 ze|~H4)}Fcd`CjS>pMU)0ckc!b%!l?qv?qCbT_MLZ@cb0nxn^x!R>njVaHPHE??^}gh?D6mLH8(Rjf9uX2zIpw#`zsCh z%ttZ})VA{9ySYO7U&5z>$Q$S^vKGeK$OZa%1^Up!dHmpeJ_v@6_mP z5WhR8XEgd6bD_L}m4R&y%!Bh*1HR6-(m>YAvcLEX#kgTYL~>kzzinFsYb=s-xh>O| z(4elQuM_Fw2rL(VVN-5^2}f-lAp<6G9Ja+9aDff-#)p7AAI zwnqp3cY_c4@8<2G+7->A1V3)DJ0KCwhf#bGYDLz#3=_e(zC4|A-1>qYxZC0vfg&fy z4TVv*R%P<0wu6vTXsHrwA$*u%DY<1wIq|%2guyPdU5bk0ez9K_F z5OvOo7ifNB&Y4d_E?u+)EFu-<*4a4 z)+VF3M8{)5|B7CGYM99Yn`JXT8pHR*O*Sgx`>o1ab!4rTRc1U?o)9!{KC~l=T6%zi zf|Sji63S_@H8Kr9m6Gm}>eo21F!g{rEn%GvfSp)*j$?vE!Dw9_%Mrz`RYF{yIYlPr zZIIGg74TfCIPeIg*bI{iMG0-HrN<_NA(0MAI1aVFc?w0DfLXbxt8dRcC*)#;gMc-dK2%6QJqRPXw}P+rq|(tKuz zYO|hi{Lp#?@HI;@=jTdWSYxXJUnFYMnF>h~uCo;9dt(~XwT#|PF)1bk$}vPJz;sw+ z@5W@sD4%1kQKc5r&`iU3^a|dGrgD*@88oIgf`T+@Fr~^9erTp&ZEE`O=46g`f;@V1 zgVr7dS}XzbB*`K0I@BO1p(joEzho@ItL#+@OCB9e=snZK)v|y(Z5Uvs#iRc zt_?66$3zXUj%x<>d;;E30DW${LB&iDLT7byR7;bl%2KBjQzt)eGJO2INF8hg&D^k@_c%*Y+zVtq=QE9XHDpE?A z;wF%u((mMiqJU~|6Fet{X@ik;LMpWx4^I-_?BNtGo5~iAajCKONdwM#mr=K-HX}Eh z*N$ldrWIzk9;JDM@EII?iXC<8Vj1S0xFn^{@F9hCFi%}t&zbH6vCJT-oIwRd1&K$_ zq`WXb^{LN?sj7>X;H|+|RiHK2=j0c`ibzRo58{2XAQ(jLu)y*bvyLUgqzaz(wLd{j zF`eWM(?!gG8NH5Jvhq`iN?le_DLmbb`e3{fWL zSO4Md`ycvO|LQ{@LJWvwn)A0Fg`RQKXuKfU=Q-?%Mfd#e*h^oY$3C+7U;gr)<Z4`zA8F6it33N^}~)XYBdF z``2Gz$+QUHExxn2_vue-logNV@}XHC{KvC@Y2To1FUuHkz)v;|47>gwqJyiyJr_@y%0f&ijD;4B0_j)z%0;PSx+2!jjo!N5uj2|EP%u)Ryqz#27G{(dJi ztLomqJv}m)E3K(>Z)HTBbK=B_6Ooy5A|f-dZJ&RBdvxaxp4r_;*Wdic$8X%Zvvsfh z+?Tv_cdxzt^7eb%8>3`-X|I3!^-tfud+X*MgdZQ@xpQ}W?E#npFWr9A{VzG}WG3GyUA2MJ1CHOw9 zY=h^!3rFvI|1Gi6H@-di(4@)P4etcp@r}N<{L3vr;N|V-UQu`mcc{dh?#+XKcIQs= z?m!tFKY0lufW~BIcmT>#_{Bq+CSClV#$Z8hdU2d*pc5n+=1;6x5=wc zoQa}ulwW)A`f$D;%5(0$2{v5ft#54g%@Q6Z;^CVR59J$ zJU5a^QBk)6?gwB}jD%qnUIi=e^11G@hcMohz(tBbNq4Dk&r)^~<(7q(5@uODTVjN0 z1?lK(6k&*^FQ!Ib>mALU#gKhzp{*!cY>s{!$L#H7Biw=8F`KV@`w6#7<*aHE#1UNP zr|0@KY35m&iJ3IYmy#1sdi)a?Vl$OwQFiaSva$QFRjkmlWNzRZ!y1gZltKYaJQ74> zX|Ba-Wz?lxd~o#)XEa+?3osf&ZkWW6zN(f*SFG_=7xaih^dQEwU`Pi^tFp9xr`10D z>m{?n>CldVGPxlkw^3*zLoKV`EoA_gwb^1`xQW`@&(6y_R8_GlCOxL8icsg#V;@A- z8XccU-yyoAl=PFa7!`u!vj?H|%h(gsu(2al^U2Vnwo$4nF3J*)mWBwVS?G&d9#AVQ z7`e1s=a|g`%+s!k^R`*G{XAA~5wnPK&&ffq%MfxfRtr(I`Ue~^;tOd3j7}iJL1*bn zPD02}iW6oarZZkFhI8X1<#2vaTVK?(X{FX#&jN$GiSuibs6+axm{2UKS4XYnAVI+- zODv;8RhEZW^p|59D1VC05ar=h*-DofI~oTwGwi=(J%&rxk8Td1y9IPI=}2c0PjdTNv%5}+hD z=&O~lR-4s19$Qw^AI?u#C;9yBWU;E|VB9KWW%M*IBNr$X~R-4bf_Z8C^U^ENk+Xj~_pW)30F49z_C{(izO}G2b*SuGq*FCZb zZISno-cO94AJQcuv-j8aoQfOf4>t1tRzb_O_Leci#rY{nu0dy$RR(>t;$u@8^x!J3 z@J=?Xrio3nZWnRVHO|F|*V=>yjdw`zJLroB@{Udw;Fv9VgPtUuZg56>uanhHPb!a* zr_EwEU(6=WB+jaJGjXA>a;nu;&5Yjb@QoXpfe)1t`lj5>2>HMk>xOKE!4o>5H#u}~ zbPl3>NthCw;rM}}v{NKgN4Mzk;Wv@KGp$*RZOYj>CSMe*8Sfn2oUy)JvuG~~E|UgM zUjk!K$a+IBLIWr$-2)zA4tj3g-%r zj+1qwl$)>sEvP2vE2c7Bxt>Gk8bSxOQ@lhkzVqe4x@H!eYBSl;mN9NfHx7@tT)YpR zvdi8i%uo9Cr7(7I^c4~*onq9XXLCtjoz0q#)^ApRvfi)_LnUnDnTuS)H?(+;Mw!pf z^d+##st?fB0B!Hu$LNdoA)TB-ZOX~cDZZI)vfekIiDS-YzpO%+&2nF5Co^}#MU$N- zuA4M|t+$r;8((y}^OOaA{)I6dzX&#_FNast55E*PelhHF&eiZGv8(Bc`=|BzwC{X9 zjsBD`g=PQk*MH-`k54u(^q2lMog%@{|M3vflB_}h>%aXpy1VeLv3o1ynUp2?m0NNOY2l;c7koh{UGvMBz!G?{J%7aVb)mF=9miQ2N&;?1r(kNnqXRD( zE^Qj*0$Lq#Q{5vxe|FRr$t{D90T>R}fIJcWxu$cU1Sb~=6tgr0S91Wf zsXit!Q`{9z=@f(FU95y6RIsxbG_yJ=Gd!UUX6l~U2vEX`K|#+1@lcL)orjf;ioLjG zmRVMD<<3dsozubljZR4xJ3xqgVQdiGCM(~LgE zAeBM7mM~fdpcSKh4MuUSX4*=+Zk$(EljG5Yp$#gmqnXl6%TDASqzZQ_U1&@jxc4z7 z?mJgheF$j4*voaHJ_j{a zRf6j3*5z|@CM6ganj#SgbSfp>okxwS8O1?!n zEt=C%^=DyK`<(PiRdRO@boc3sEvRc>u;>_q&Xl!}HDWwhHYtnbn&Ny#U*KD1r()^3 zPDXC!>?Vn zr$wgq_}rbwqT^KSIz&~quL)$whJ&Y`Coa0uHDso~#D^2!Oo=ju>dn`jma~(xc1vx@ zXYe^?Z0z~IZZ@ab=?YhBg|ro}e&CcY=IU|PY$M-s?zo6w0hLP6mXwR)R1fQBlic|% zmv(efjsh_pf;cS;M=43Wf*QD1BR3+g2F`Uuh(gLZzzict#d*_vu8zfcb6UFjdDMi1 z0GGr<<+E!hso8LO4`5j1t!GIF_OojTY3IE768&5?0g^-snOlY^96tkW$6vuFOnH2q zNu2!d1S`fGcFe#eS1cpEyk|OE(`njEMHeof6_gwU)Jbb}Dmf3(sh8Ad$7U3-g>V7n zNIwj-UeRh;uflH9k2q(zjHv48ih$$dGRq1ipXPQQfor{ltA$#fN*6kDk4p-_Zep~; zx`TGkuODzK0e%lEfz+S@XX~I+$w4v@%EYUcW)ak`02xzDr-aW6vGT>UUI;R$2^LH> z%QDUmCSlb2l`S!mcEwsEmT3{#MdIlR1jSgPj10d099%Gp3}+CnRQiMw4COg84M00E ziijkrkIWVc{RF=0lo<-G0J1Y6#`zhjMr=`iC}Kc*N-l)K7(*n6t7~5Tt!vGUNuNm@ zZ8ZV6z(Km4JLT?RSSq5gr6VqDZH&5 z#@AeAOF2df7ea9&u|6o_fc}DVj_D-j;HYnP?UP!6V!z(;{f9sL(U-rx$6t(%OCaJN zR}RH7;>R@%lQ!T*8|mWRLt0fF)5-&&!DbVJ#jiMwl12?imJ$D#(_qZ~R~H_$t9C41 z_PBqa-H^bD8^ine$G2e*c7jVS2A!Yr6Pn$H14f)Aisz!Wjl~Y+`RYEEG$79)Wluqa zA@MW~#ypobFg&Y%tOjNcmxRPWa((m9G2fOP~Dkw|>d74mo^LY+NNw z`4BFYU(-5b`OEHWA9bG`(w{u~Rs()a4}2s2qxTZusNG>m$A2*HxMi*OtwY+& zFW#kmwY9Y4_K2;x zhw$8UcdvE7-~Ij}?r{x_`j`gkJe3AJIEe0WL*&u*yLYcYy8h@6+mS!(K6`<4TwNUV zXbpOdD};H+Z`QEh2$H?!m*_jb!~7}!==$y3dhDygNn)O@f&n)7`wWq_;a}0bcU} z0@X8+*q5TgkvI}$1S!oCAruxU6dZMkvVuT$ANdp$mbD3vde$)6hUtAC0lENbXUvGo ziQpFrB7den%N*1Kmp@`Cwn}QBoy^D6G?6>BmJRR&lI>Uo>1R<=$Xlct2c={NYl(yV zal$Gm0|wD|4bkJ=j%^`dEbzm7V-P4$9iJofn0GlZ^yLv~k)K~ir+JAQ-6m!c+(r$A z(8IHwG+i?KI;p!xwpj5qn4C0>;i^@Ag1|MRMeC<*AydZ0W5=*m!1F~ubrsfyil$-H zCEDQpcnZQPcAlRa!KF$Qk-DrHP6|Fg8>*S&?gYZm=XC4VU^Ejmpd{?2YH=!WI4}PIKVMG(OKh9GhZ>KMA7F(4sXQmOOA2sP?CpbBT_6X z;Xn;0$V6_kz%CP02Ru8BsfkQJ?@@d`??N?OPg(Sug-!5lKH3~=90oQfvk_NkO<70* z?8W6@n=Bi;pqWif@Vq6T>nd)HH;QRNOF zUmP3+Bt|b?jXlCHD!L)#CZ6-?Vy}`^qY1Y0Y~L+obU0&lj!5ZpkRnA!VhJF}!lH76 zrMn}eJTV#tmt-38y2mwLq$DU@3@K*xT9TO_a1+e2h-c`)lj8x=jd8JRNGLB|8;W(i zu|_hQd_{LAT)K3T<17#_B2|I8AnwsyA;nk-k8*L;!OoPq$Ld{=V@(Ut^?24cQ!#S9 z0h_mC;-te3m-#&yTGX0?PSBfej4yCpbJ`N(KxgY7F~tlgSs}EZ0(x?{G#ci@%XgfJ z0C+L1x?;0yp1?K|uG)FGWXeVlno%(K2^7nj*UvmLH5saS9NHdvN=rGWi!`4=F12Uk zTdGRX^E0)&cP+_mUAUlZriADXXX&XBC1U4s6=RekIjXNWPNBBw6UN4jAPfo6CmcL+ zNhvWleJ1jGFFKQlGs5H@ZO^^dqZ(;;u;fC!5vWErnUZnVOlnuFUNVa{eB=fQ=)P+a zD?DLz%y((=2)sYHu$WJyB0PC)X?f{FgrV7Z2Z53>*pY*-9WEnc^EPVdDl>20E_Sri zP{PPBRzaG*NpU;kudAPAY4*isb)scnMzkVKCGw|69|Wc6U;h(0Ugf9qU$w{>nvZ=? z6yaNoIQPuJ_l(k_yr2rDoEO5|1APo%PM#U)I>#x7_&W$&Zu5TX= zs1$5}@PotjxFh!f_vZFZdC4{=)=2!|H~RED`aw4L@S+A9V|U$+H{JF0WbTf2``mN8 zxFX|@+&!}0w!0DzN|z?W3wHFBba!t*dUWU3om)!Yn%tVa_~MptKRvv?y|ukLuu!9t zj(!oe|Mm6XdHr`TV(;F?%PbRW-l5iQc2l&(Fr!Q%io?}+2mo-rSt2J=-?f(qlIi1i7hdsEXuWTOihut3xVK@5N z?%ud(_keO#e$1WkeB;4q9)0AG*2!0fUX{UpjiNr}uCq_}h^@~6!Ev{Jo%rGN*rL1- zTr$qiM;?9U$9?1rIg$^J237>Yap&Ze|NGQnr~O#+7#blZ^gL{L{JQzH-~YZk&1FAy zC*|?T1$pXm7d=zRBZq~Fx)+n^D(|mW1JC8!!0R5?InO0{zzpb+ZU8e&LY)`7_-dD4 z6~#H_zQzqTuDCy}BDV*(iXnRVd+r6|@L++`<(aPWT%$dngWW5<2)h3zw@;}N8X`K? z7_h=x+f}_F-MKEqqXf5Mz_~Gu?t(EVn#K>5OE%`wg;AZV+;aq*6%r_?XF_^-K96oxS>m0Q^`%UMrG^{G1){%@x{%PQLa-dL+KM1st)yAhY(&sd zPIkh%h7bv{P|psuo(MH4&=a7Fhd|BKM1bnI_G>3g2;|sF&;YX$Z)y2MsUm^K4oIEq z?H2d|2th9iO9Kb73yZ*rPf*Pn1eOd{Jp*i>@xx!iNy27TEEuxr!6Ee?HI>Kx#o@1J zOFab!P!}oD;JD|jT4mB^YQ>UDOj*qdO<;A07ehca2;z2Z299RElE;WVTR*!%#n>7g zu~O{YR^e>9@p0D8JjJO0$PO!vE?V@Xci%heJD8=zIg^PB9*ga1j`^&N6j(5volO{l zvGI*sXr1*m=DkcZPHFT=m&>fbjzw!#O?!Lwrw^iAv;Icp>5)Scl^N`qrTQU=a$!3AIX>ip^L67#hei0u~T%Mkf zit5=cva6a=Cj+d3p5gjjRSKST({&B+vUdQ^icJI|)L@0w zo_?&YAhZR*p=y?yTl$t%8)qnh-&-z?+@Y3!;p1t)^L7LiVb^V znL%mw7&BJ|z_)6sWxwP$ot0(3DQY#xEUYv7@HtOac+ra`?;yxFoArhcp5c?*`^0#P z;%OkqnU-{3<^>Fm;el?D4!!A)cnM^_gcWkZ#opNqA z8%cm}5-j`s943MLA~5M%7qLBW6pQK$OaX;x`HHE!KO8xoA#t3FBeW%!imu2@uW&M* z6zU*ISPpdSNtXFZhq7^PI0;j~iM)`k+RQsPCeh%`{zTdbX*lHlGF0n%6Q@PdY^Hr| z=#6k-B_=u%q1t%pC)`({$395HQ()oBj#-J#glWK>8Sj3bEg0;uLpKjZ z9J}V-yq#oKSB6!g3D-@_6js)SjbAr4EyzdNd5_epJ1b5xewl4NSws(Q<0aw!fxWrF z=biTG628EWTcuwZ@Gsy8KZWCIbjkaV0dD^My{D-8G>)gypCaD5UwE1ZPvv-`ge8SD zoUFZ$vx19?&OC27CVCaaG*1eMjuTkGX$(~}ewXCSnLZC*s)ka{D5IhgEm_Fi72Wb;Q47Rr=F5~2J7VJfBfN9_+@A2)I)VF zhO~?;r=G|0JbAr~Ywx3RJJgBX2$0qBA`|j>lS`eed4VZP#2P2#5sRgM0KW$jdpAAv zXvVN+dJ@o~bxk+*Q@_exm$VA-x&;J$4T%6UvMybrI{_78Q?5bFF;K6T@l%43>&+Sh zZd~@qEInfh^}=$An5FZ+EqugII0q}gj1mF#?yO#_AWBV8K#(=938g9Ng^RS6%W543 zf!|6z-Zdc&GlgbU%+XFa5{j=G(o9*R=^CnBYW=CIi|8U{>fR%kc@a3B6$AQML|6Hs zs{v}n?%bWoyUbX~LS)gCrML0}X+NmRK3M~6gruFNtqv|0tQ4R!J%Y8fiiO_RJ72FV z9*3GR=u|GoKk^rr2=_DZGS|5-29yr${S39QQ5Wdvr+!7bDC6a)FVE2d19m79(2rr? zG8w_1?4?qO;KZqyJTjOSMV)z;=zKQiJwK}aoHHdq&3S2Xw{mDjDU_qPYCsI0w~q;WI!FG)UL~dB7o_fSCd30 zo}yBz^hoIWxyILB8P!>^v>^2xbwF49XsD>`iLB6CQtyhbRdM|pjCrWwEXMPC*`M`X zE~9?ziN)x!BS4OZ2~wKt73V3#L*&s-oK8Lj7$6>)y5ej>=qU$E?>+mTeUvnQ$c;pR zV85sa(p4@GTnF-E1zru_Lsbjm;1*6!_K@zY%y*OJx~h76Aggm~l1x$nEp!Kp&Zg&{ zB;|7@nPr~wxtCp~F+Z)@C~6=cYe_C_c%flUep60-^7IOx1zykAxhkt%c#xi5$vNF% za(>4Bg5D5Jln$oIS?^tS4yPaXLbv1vGENX3m4S6Vl_YsXF6rO7sExyOGl2Es;6X*_ zBCX;G$qz$;Ceng3jy?CU>KFK2`(B-aritJzBmoOnnl{7KDy3HJir&fc<)}4&tl`d&ph+! z5$_T)c=q^N3vb=LcQ3txM5SM?!L8%H#FYE5!|~lmkG}8^`+xYD%E#OLM<4&akN@7G zjyG?nHwg3U+OHhqQ~dg`eCJne?<}&kswUWOKl7Os({5Kb+y34cA1mpZ>({^V_ub#W zHS&$&u|tDrKCYg*2feljIQrS6pWP$JSkk}^?=>B~(g~itlf^^AqiR6(3inw8xga%8{dQu(#HB%smYN z6&TjAUVnXC@_wkR-VHpg>Y>5)>sM>Amp#Nr4Q}fVuOCpGBW+R1L8UZFc>n%vWw{LGW;xw&}$6iasm9fe7M zy36P{3As}b)V%}BlppJSJaT4b_2l;KNQ^GJ5ReceoGMQ@Ht_(N4uS%%NT?sh4@Y*m zt%(k|RBGLS;amoCD4t_{!;Sdai@ULjnPUw`qqL=2Ngf1 z0BURy%|xUH-ldvLvpp!~(_cDM_atK4sH&p@iyOdFPG;E^nc;ZkCxzPW$L?P>g!4g0 zagtYrh9f+lz&k0pbWuXJJMv2KaRr`rJ5d{S=EqA@CN5&sz}zI#w8M2}qcGG7t0YMp zB!~q1NsyDD(lJm8L}$*sSzE9aMTNDFs=bolwT*Ww zS{PWc>Czg%WpE|+Tn;^UzKF|;$pJ*MM;3Hiqfyn;qz(}@NX2#mQ7GCQQuquR;!UQ| zG4hAKIhOpaZ-cd|?33j3RcpD+*hw1ukk!5*1OX5mpfGKd+#09Y8@{o}dlO4dkh+R` zmtKj(;8|?ZVH^`qtuk_Ic1EiiJr4%=Fq{$1q;#Npd2H75jFljvXq%pAO$tqrht;N5 zbM+m1h2DNaCnk(lDM=JWX}G1R)ySCS?GPnfFc)MA2HT+UJeG99ez4B6$R?}O(;wC{ zBoSuOott$vobIIT1N|6bq|z~>e2x4`VNL1D5|op|iTc5eQh=4Zyf>M8p^9%72!IJ- z{({Hb)^lG3JaV;ahL^Jrf; ze#k7GAkwQsVOzNA8*mgJfkr~K3`aeF;%&w*^4%&$nD4eSw^)QvR zOs77^Bunj127CY!c`s8L6uS%|4$3FOBI*?~{Of{F@koyLp5a7}wzoS~K7gWoS(8=k zEMWUq##w^zH;}qX7@L#z&rq~346UAorDMm5I-ormc17f~oN25b4+8*N0?~+6hmlNN za&7~5-janv0TrOX&V`2A@R0jv?fW3Q02!RrS3$lCoK&4D;bBlGpbY`_N~M|kQg)!Q zqwpD5rAWw(jZ2}kFc{_>O2o6@ml@#W)CB)HL}iV=RZvv<@#pX-&^LR8)N*Yq!&=5# z+IoR5l!ft5$Qohg8p6ym3+XuZ0-p*FY!sNeT`@-DAdBik7!A?r7~Et-2M{HJpVvby z3?YIHvLPIUh2*oY2W0dLE;}piHF5>hbWDwTQko0BAyTTPy0r4hvKO((46#@BB^iLw z;Hpsl=`ucXA0FYjXX(AfBza&OCAhJq!_0^A<43PEYIly0Ts(U1(WCdauYcC|?j7=6 z9WTEA_js1?$A{zVUDAL#g4eEJzvjCC&>C!C{`l^Z!XN$7=DTlvY0~$GSz_>>9)sh%$JY{a z-2a+VPkLAFV*=ckSKVI3UiK^X)sn<9hKKx5(m*?;7{4`n`Q;>G#9r1w-=9geF&sG+-r`Nl-*?|9c5$BI?ltzV zZ!i1phdIaitx3})2_xpo8jKu&HKC{2G!`is5GPO zd%_STRkwI~Rs$3ozIcYyU9K`D9vLCuFuE96Km?bO$Xq_4Mw0Il$=tYyMl9X2vVqAZ zR0MqiG=N%jt6uN1jKO#vP*e{7xpQUE5Sq%fJN&>5&kmqct*RKc(Fn2ia+ zMADuSmHF%=Jp;1`n#nV>;#^FH&%8aeSETVkqY;D0%w(W#@NbsHoW~;31s5|C%-uJ# zltxmus0N@AY3ZIQNly^?u~zA^0m*_CFt3)&PI0-H{O|)eu+~Pjtes>{R20A98jnl8 zs5E->TysGhWhYPw0ZTJvGEh@ZvPm~2?Isglo@qekShzz|;y_ljY7|}NwU_V$1f{q+ zS@T5{LJMpXi%tXsxsh6pOU9G;7BE7A1Va%#v+DXEuFGwA&mX&yeD)4 zwMDANglsx1uxG3NxE)wFdZ4a6vWhhMi&i#adC!qPX9TX$H60b{hVtQpQ;lNtTG}}5 zPqegmCf9gUFt4y`IuD1+H%H*OjHEM?R(ESrGjgvjs{eD#k%@n5k8kB>##JBDJ!0Lm0J{42k$*~rfv4NYfS*#e!aIC z2Pr^S&yHjbo1JIUlyf@}RWGcu1At;ni==Yxyh!S-tT%`T=c=Z&j^!CWWw)%mgVths zR8muLZ1!vE&S9O+T4`cumg?6e?iuFGRhc01F5_@w6c+o~&dX)eI*(BMS{lRdAhCxq zq$B*?nOvmJIjRIgaHTPK*11y`Z-fU_>v=P;+d#yz6`eOGX=#Ap(|tUJVooqq^hYAb~Nb)wUbq$M@iCz6{XjcsCDj~X*r#Jo)@X%1qPo= z3lW|HY&vVS?1A*=ilMZGsNL&Kz!!^nu*1IA-SctIgE~ zw82FR1PK@c*%L7!Ey?!-Wl)loR7hCGMu-?wJ%Dty$$w&H1VH4`&V)!etvFPnNsP(% z;_yT@E#q>3!V9%EkK;H;L1^8axj%0+r?iW7HsDkmqZS5hMnyXvqB>(#%=H$|DOnK4 zd??kxC7e~HVG+J&`x=i<=Nr0A;E$W9%p~9#V=l^mALGkP*)J&etUcW3iJikb@%Au_4}s5TJOmE(`WzmPx&aXt-fE> zK<_ZRkabABp9ZwsPJ`XN!6=0J16wJ7iIsHb5oVfC_+?Hmh0G3IURfvGOCL!y4&xfT z&O37I{Z{3F!4tJy9F*S8BP6~*IG9Hm%l=D;{>mJBX7{bPzWr@kPAk-Z^lSgvE*4_e z`>&3VK7Mhg=SnFb6zQ*E)HAzWiHl=CM%&!^!S+xC`p~~;4X#jtufJ~Z{O<3zEgGQ9 z_W15?_VN7Tf5(*t*zz>*PaLE{3#b(w*O0rnZ{B=A4NjxqEH|vS)>=#T+(XizXVAyr zN_Pg&b>E}8Vj44?1Soj-;0wLfcua7SA}tad!;DJO&X=3<+&<-A8lrdyrmvA_pY|>7g`> zinIrefsKHMb87&PoyNG7DXBQQ60U-x7{5_c3X^r5^APo8FyRaCr}|`F12bc}B7j$o zxwp@J!Ivv4VR&F`ne5}GDbTx)XmB1kfK724P_Sa@!2yAvXGj^KYb;|)zjWdfEF%Z~ z3UXz%=x!Jb4yf2A9F}!TFiexPG3oGC;b**=@Tsw>laQQ$zHo8KGB7MH%!ZVyqy{iB zS=1zw@*L%xs$%*vg6TG3196})JN64m48Q2g&iBsMBuCJ5kafSxqMP}Sd}=5St+k0c zz*KN7jd^UshEy7@;Ra#A&SjnzcC&ucLU_lP2AtpEnDp^xgbGP%Oo{+zalG}6mPE%- z&q8NjN3F(74!9zn4YVzPseYn+OdcBP)ooVPjPf$LX|2Q~lSlX?Lsb`~E1pJQNQ5hJ zxeTzXPRr~>M|w#&>RgBvWFW4>^%nPb)IqizVRw27u^h0o zNluIhTGgKTwM(p(PDpX2pG2q3mdoo@Fi<^bW+jK0q4G}>K`x*R$g!$9KVWr+nommG zSJ6BIGb+6EzFY=cwV4H^QvckxQNv$%tJbDQbT%`)5_ySx6X-jD4^1XZqLH3F7lgb+ z4_|l0b>6Bz*orP4sv2`l5=w39Jv6nDx5*FHh79x;$z~Ud$6KK-OBhm{f)=|KU93+m zIU<_O*8?KU`yoa^_wusMqz|NUu(L$NKs+4Wt=sh)l$kFPIPz3p=zWnjbYya98wz4p zG9OT-YqZ6CUV6N&c>1E}Np*2if~jZJbdXx>K5v_@UAt)+{LFb#RNwVvHt+KYVxi0i zx)Ppk_~Mn1(`l3yu___6VjLZg7}sM@3>!Jtx@VIx#l#U#R!PxGGG`eC@NArY=Sf-AxS?&}iK{lh@sKDs|2;5i`D;LnEK1vr5;g z9dLOp{7FAsJMUcA&e}F;7tKJ2lirU+bmQ&%>j`WBj22vRPJatoV)IZXQlVousby8T z%h=2iPJAvT#=*>GU37KJi{i1WE{+D6Xzfm7a~e}xMirP1;B0C=5hfgz6JN%2eF<35 zI`YoqBzQ~iaxkGX!>sXyi{GsB+(R3Bfqd$EqE9{ad9$i&<~&2n$Hj5tH{}`KLz<@Y z2Q_MLTl68_3~F)nz1T&=`468T6m3Q+2ceYjEZt=pp$_~q)LPHaI2rYhaQ%%yj8l=@ z1KXoCo(nvvg$@~5%G5u2WZ_lPg!{%j-+1RTtuRD(8Et7aaJO$i_p)}6;}ve*(al?rGpd1K`+ypB z|El{}mnBevSFWc<8?Oy&K{{8Wb$9!^^_4un84ELSu zH*QD+eCKJIab9T1BzVbvnD70$vbpx*%^wW!ZWj91-COLRxYUx{*Y*0T9lYn#w~v3}7ykUuufO=>?T@|T%29*cH*fQm zRai}f;@i7SXdu|7cah0kleQVYb1US{+c(|szpn4J9>BKi4pPjx-L|u6Hv8^(fAmM6 z``Cl`Zkgm`dfMH)TjxLZC;!Iy`7rR9@8->ie9WM|w4*>&wx;6|?|ou@&A9!;-@Sr! zl*L=ywtDY!g3Wic*@J60cN*aN?lh==?SpB+dCwPmM~NRq19!C7K!fG|pOz546Ha#> z%?Brs8sD0ZdTN-Odk0*xc6r<|D(C|Pu5ijxIUUFJrzvIapY=!*W<_dAhxY}k!Vlxk zrPC{r>Bt7tmRm3-@sOUSRbqn3i6D(My0pJ#^n~8%8}3`@K1-a!XsjEIJ94zgrH!aL z=F@Zs8Msp(7Zr~8p**ta&Yy4>Af^#M!v|-=9rK2`kn_p_2`2z&_p;OJrE{`4XHKYFnQLsBmgowgRm@j4C`!qwuuK z3tg@tv|nXwtc z<7bY8CX;KkF*O`8^aLGzbghYx)D*o87~8N)yL1#NvI`1pQhv$nn21MetKnp%hiDeS zcd8!U;+(HD4iJ%s%Go(QyaPF>uWEO@z%M-flFkw%uaO}(aSPwL*odsXjvDr%KBlTl zy_vfWMcKzq2$6B555AxxMX{tB`J^hepOZZvv8ubi4P9va)H`~X^m^K^_pQf!bTkCN z!j8zKYG#xn-MK!@tIn{gmRikCPHIR!wNUBI3QR-B^S2qV8iDRQPpvf=n-#MbrGc^l zL)X-RjC)4L(n==0a~pn3W70SuyIM}z*^thnObFl@m|Jh7jW~TeT5Fwq$7~S24Zj47 z&+zqVlIoDow_B6=(_}8fM2!oOz*nQ$-yj>caE+J-et{<;@T#QB&bB;vCAIj%e0~%C5O7{ zoH}IiR0Cin?+Usl5v7S_AbZb2oq+zG*Lj21=)?73#;eu~P5?~BA3!~| z!MyO3eyTkIm|Wobnd8B=%gWIS)M{Tome4FqHSbE~eiXPZhs@A&Mj ziv?FQSBhj1iAQ!aRLm_{ae1RkX5#UQMfe^mg;`)QK@^RpQ(ZG<7Wv)_x^TOgc0Ii* z!Rcmw#@pFhuil|hs6+lF3oM`Jd5Lz(bYq^u`Oe@nJqG;cG}?J;ciOua?@uR7yXJ$L zCy@p9Wi(Y)_tVpJz789gcuzI6D6(?2R&Lg{pYuK0h({4I0bmAGWZ>X|aT#=kCN}80 zPn&(B3y~TjfolOV_0Qfdc)5>>LnQBho|n8+jSCg@FmVAU@E#xel*f4@hL0g4$TdcT zY=A_MRVV$BBp%3#gap(j5+kDr-JA$pkc^YIhPN-(iu&<(aJjE%ne3#~I)jf>I}5H@ zxy++X%Sw0)POlgcO>Ik`Ru+TNmQ!ix6U+zXdy;I}0BsDj}i2z5}@xHl|%T3u~ zXytMExmk90rmY{@kTsoKknD*D-nBLfXC0kH*S-<*b*jK(M2MZA)pW!yDWc$B#KciI z?TRIfwVVmTwP6{~W9pt*BQkV2JDYN&nUFzqqmjSBoP*0ZC7;iZ?kuh+WodF{Vml$D zMB(=yY6YeqOIPSI0U~L`Dz@DTVskn`;44gC<{V+spOc#K42fPoz{Wq>H*(+!_FNy1 z(2F~Ph&<^A%%j>9n3(sCT+OC16;S(NH%|4CTnxeZ^eH&!a&j*seD?0Uy*asO%qSvo zg;y3cWrhwe;}YvFiLjtH3mIJl7MFQxNgXo|z}WWZVkh4oJWa2UW0r0+zZbh zw$dT@ASZCHTn*O?H)`N+(dTG~m;8tAqB8i@-AlL6^>xN^hkQ9aL;g|!$)73tPSfa% z|G~JZ0Q@=e*Zwh$x=*^>xoTP@;-v4m9{wBJMT7_dY&igUhZhRTJ6d z4zJ(V6Zt6vSKP_=t!wX%?|m4thvAzy@ca+H^oCb|=Z8PsOE~1+ZlBw|JD&G!A2CCf ztP2wgJpZ)^|0}a~NA^Vim~S#Uc2Cm)D_zjQ-ML8%j}k71dky3*>yO(ems1{92VNOLDH;12wBxA4f~=0+^=rz4X1iK?=M z)7?dPlr&Z_1av{-(^%3VZzNS4Z%)TQOH#D&91 z7Y|u8i5GC|Q{Z(#FLQ!Or8Fo}^#e`@<3un%<;)b<&pBlo6d@LeJm67CKOM!VP(Sfk zEP6O!5rb4LIm$AstBu{j;MaTcEO{nT4JHS`hEQ-}DGFo&7V=c9Q`w14_0C1*bT)!P zX08H!siR{EToCYUlmy_S1habG8%BC|{8q>nEdd28RSUxaW)R-@r4O^P@~u~w3NCbx zp)WhGYhPQ&J{9FHKjoy*NHK9xag~x*G|~|TamZRt-rK$a)7XoN?84>q^pG=yiMrb? zTr3uolhk?=&C88(2r|qth{Zq43T;0JJaokDqw{dk>)u|qn%umY6%u_eEnO8o* zRk)HQ-+2P7d5SVP7p>K+I%JK;-7N)&`O4*l-o=h!IQ!74=O?`fPzwcb3C&LMr=9R< z(SvI#t?+mNEu#E6oL!*awTQjTLq~sut5Kl$ma|5rm*)C zaAnZhL3LM1Xi%$xLwd;N6u0$A!UU1DTvFFY$3?oVr(G8|T!$db4!ai1I(re=X4=Vz zTO8ODE`Uj+&}5rhmXRBWJ<_MAgWL1!3=85OpeJlCehz!kvM=2H1%>nwYYJn~Vdc(2 z_Y0&0d0>BX9t zq@T4+&t=QD}(1BmF= z{wnSt{Giy4_jhzK7yQ40@Y-uf+wXoiNi2$Qe|yLOK9S1(?{B>i84_QPx>AFya*V=fSht4%f55Z+ z1NdKZpXvW6UfD2u(}Nd2?l0d-9N+9Vu9**#*++24F=;XKjp21baqR)`ff28e>p;#V z!z+8-?54%DJ?81LPk(xQM|(7Q=NPYAcwB?+*MDu$z#V`83Joq@Yo1=vmB%PBKPQWs$EcQHm(4&sScdyzM1e zZ$~KsHjrWv&tSIq+-H(Z1VPiU=O`TalNiuka(qu>=3JDDLfPk=5#vI_3w(oL5E zO)o1Zd@c@)=`O(9mQUqs{k(y$v2D;&F>)b18~|J(JT=k4 z5#N`qVm;iayQYns1BSzgBM;#Y{C*HdRQ0r#ZlVSZJ*=i)chCff^Z*Ka$DMKqyIv1L zbYCrYnL96blQ{6JIe>OZJ{B;9FQT1E!;aTOyk-wG~-K$LZFy_`!9N)lzoL~iz| zlbz?9ju$l3MHjWo+V9m+Qeau&1VTd9mVkMz`;bn7);S|lM#+5IH_7YhH_Qm(5B9_Y zcF~c2n2o$URv%T0^=T>E^9Pn6Et7ZbCTt7&%(AG8Y@vxOfb00f@gki-`KzGZ8hTYe z4Xj1;OVc}eNFt}Y5I5k_z>pW?;+xS5cmf(o*THAXQ?4F#Pt{Bt%dV!t)_~`lPTd9a z$h4()gj5Goe8XgD+bAxEdvRfP#w}{t^}y13Zyk`@z#(XLXgWMc#k)DXJgGT)qGgXg zJEOy8BYGqNHj@$wmR`vbE>xV$5oQfOZOs;ojYJh0TUR@Dd(yp*o(R=C1oO8K5iZRe zsNy~AzP`?u_Vo3NiKarPL3es7kq(gzh7qXw66mTCg=nIPVKT3LYPq<;O-rhH#bw2o zmn|4fcq6+f7e)t*BxL3R?uvDF&xca%69I)(A$zah5K{eDCFP`Xz9;lp(nB@FiF*JH z1I=5iq^FW;_#2QuJ#~zb&&n+StA0;}aFq3hU#aN2O&iIMs= z3pftxr~M+@2Jsjd%{ec{hwLVe%+NGl!|TzTlg*O!0u-8UVvg+y`=$)wdGEtyQ$m71 zJtvG_5@6cQs-{uS_N;^(j<}g@j3br6yBnH?N|>xST!SQ`FuJa)8O3pIGS_6;Y*S$1 z1*cH}-)4zEA*`9=?9cN}kC(YvhCoAaXe=?nc~0PYL0VDxjn3BvbF6`4T54(ZiP6uQ zhulmzDk0QH*Tu_i_z5;`x1fXV(?SNNZLJ-v@CLt;P6G}K7>Bp>bJMcFbL3FS&t0Hn zce5Iu#dM!;Hht7&Mv~AE z9Esi<{Uq*72(CUu#SOhF_G(SnEY)<4qnnYi(!&gTb8?wvB;MQOQBQq8_PE|g=b=mvA#XHZP20W!kOloCQIsEJ-#N*L;I;75$*h*>nj5t@F$ zPSRpbC)HEx;FvkPs(Vlrwxr0mpcH9~WkxZEOC0E6S|ruPNnW0!Q}*=JQiYAce|rZ8jK%LqG2bGhnSnO)D#+l z$wBO)YaeEkB(j zPvDg%zba6eP6;WRYAa&x6R&W|u9)9@@pWbn+TkE{&QI1whkHgIqtAf>oK^Xj;2dGE@W7s z99myBK@Uo)IYun!;Eqd<;!`yTJtH}5aB!OhrNv9tve=OayGhD$S|ib&OgVvodB>Hp z<#lKLc|7iNCHH_;{*@jsm}t+nh0fLO3E(UUDD=zJo8k zVhL0@kg}r~(zrlpW*b^R;&<@NTDo>#tQ-pF8S(C99ZssM&9#NI>Uqk1ZGDD}L*Fs6 z`c&tdN9Wos3uNj<&B|=SU^5oaD;#H4j-9jBz)t(s1&IrU?02Qd&~=3ZRCO6Yg){-y~PX~ z2@ogsCb5McOFx}@G}yS38MKD27+xRLcJrK`t4|SeYQV{+(i6w4n!{O~^z&-LrUu0D z)0HXM%hI!)Mp^ehoK`2ih$!Ck?9b0a zv7jeqx?E=M3`s@FJ_TNGH_J9S%^{!GXOIx4--_7t0;wQ7!No=8ZA^3H1&YP}WyIN)&dKg=S+3D(* zrh-JmXOcBGi0fHco?`syB2H&yM}uDZpjShC7YYwMBz{IOVqm*2_Z7WjkKGTeVqQXx zv0K8vMz_+~)o0ipaWd(fv$zQ5gc5WIh^}BlC}18W(5XOGVSY9}b*D{qYGvm_H|JEH zAZQ16RfyBLWaBs;t%UA*VS5=%_UMK{CTZbVb_^V~G2VLT0=J``t-Hnitnaw)KvRjV zCWf2|*jd_aLv!$&wQ5;#CKY*Ao3dzDr+cYkkl^Hr(5AL#cdv0TSo+%M4c}gaB(i};UQPVOEuVQi zinT*dc{@tKN>dm|;=K35vL16B;t@QoE!_IWTQ~0?-#`9~?f=8>HocANY7m`$x*@G$ zjjIaVFMs*VqVOWAuZ;QL{mQ%VZr|8`YE1LCsrzp~`}VVAdKV5FeC8$^Ji34VBS)1x ze)kw-UJatVA6ZKuFl_JLyC(`7yfC8IKg&}PZ@5DZ9=`pjfBSI_#tc8P@ITkI=d%9o zCl)ZQXx;j`TieVJtx~xk0Y(4w^Kj& zpMHP0Kb41hpMT?c-)hg7@09NN^_>PUzWC_+%?Gyz4Z1h>5>xE?=ga4v(Z6$a{pdH{ zZ@!-fcOtEGbo9L&&ppQ)`3{bsXUu1<^2Qr)Y~OjOEFV2Mwi3mn@3DQ^eff$yB>~W1 zd~K_(_=#ymRT<#IEPq{A>OB#IQ6Fe1u{9yO2@56uUX0N%| zwwPhoy?&qp2LCMJohNU>G40qbELDKn{W0zoU0%E=w&W*IKmEZDEQeoi(hTK_=wcE5 z$|kQ9%JL|xQpgkCj{j6>U?C*G{a-i4Q!df;D|T4ji1yI!qF_}y{)%)fG`?a-w@C08 z(;ql>NzMn(XN>VF>|FFOKuWK6xY;h2BA5Af#Yl}ZGL9U}p{YC^`@h6L@?H#wwM39M zo=C)wpc`bi3FWdnBX&Nd2lJ#lkvPbK)zpe zUr0By#W5m`PQZkS4ElI7mP!t=tD7lhHcHYw47OcbHsZlN3_6+%D<#u#B*xNl2Z==| z=OS$uad>qh*#qu9t>qa(EM4JSpIWj{4CF|V4b|vLGI}br0k5{DUm=w3$@+lL4wm@- zaH@X*OY-AWbr`QHak9ui%;lgawP0!{h)77cIw^7ysI6*jKOIN= zC!EImiVcvZbGjdrDa`R4i!h)R%yM;pTMr(vD=nli*KO#X45Slgg+gH<<5&95DM?v& zRzety)evY8!~91aFe!`$Uh;B4DTvVyIu4LWwW^EuCmiWR=m!Mv`_&tff@pR)2!-?f z@$NVE1+HLGC;(sFDz!<;bZlaxM`KV239hgN%2*hZqB}NAPa@MoOiP#{Fh;~#q^Ck$Q@Za6y=ysT>E@|x_M9B>FE_%lpCJc9*v}O9I?yA7YeUPrH$NVA z7EVyOuHatZX2gRKra*=?YnmRD2-LQMoeC@K2dhHJpo6^huwG7jMC!Ewy%eF`-iYGU zwOCVN6qWv~9A17^Uj(-_QDXM|l{^`eAnw8?yp7puPl+y;qz0x|v84|DMIrf(2DTLV zGSb8V?lLqrK_S-E40IzD$me^9|QR&TX`2mw%fPfO7XYe8X0dsf9uwJyqb1Qqr`j1$FDIM z|G`@~p1b{jzWVF~ce~^LJU7KLZaaMN=KkqH%Q=2rXZyp)b_G&0@ID&cy!Baq(ADIm z!&VwNOx(26;JvQIG8Z(6jNLDe`*#{7zERp+fXz&xgKE!{xiUoh4NR|Wzx43V_Kx-5 zL4n`c{*t_wXV%rvmDe7)XV(8#&rBNVSxq9Ulxc8u^ogGtNEY0hB>aez2FAn7d-=ZeGXZaU6x8>=6xX)(&gwIi4 zcmHG`{>kL%|0vsjU!eO1#Sh-kjE~&oZQpB!|0ik=;2*>BU)uRh;-(Aq`5zf?$uq3(UFWqd5fw(fP62hdxt#{+1s_@E{ewMJihePp!d;nc!FE?t5;D=GK%WpCl~TToaIrT$p1Ocp zD(_gWBqybet52A8Y%a15Bvm*OM)ILXX_b1U97>Oo=k`GV}Ny-Fw7VdJ_$y?C(y$a@E;7V zv9@3gQ|j^K6X{ueoLQK0GXHAofYtoSPdmJ?fj$sX9VEYE@<97)DFhTrs`V#r4C%JDiyx^hQt+SKNQvWY|Zv!k# za@BWcRad|19hd<#WG*JS9)2)rwNOF7(L-2n4LE!GzucXuwK}} z5L)Hy+n4BTFzPFBV9OX$4^|8D5DZ~^z203hs@AiB4G(^>_QrVEv4};0_*kQd|^n;V|aJ0086?>!XmJn5NN z>^m26pE+NMQ?^S!&o(*Eig!6AjwGp**Eh7{o1AA!JPW^R z*UflJcX}?uo=!O{x95v5pRa&hrmt^FJ)79Ji?cayMM-tpXD;bhAnL6+Uf6oLm8PFI zw9u6F{$0V(G-X@cb>jGR@6VlSrPoLykvn-BMZ=hiz;sGztBauyJ5KfldAiw z*SwM5`gf9<)>Ewh+BBMsY$qfWPF&6o%_h#8a7vpn0jxKQsKI{XRJyYvQgf@nmb1mR z@z#%RVCjqVo`BY}3O+>=7FX~0?-b*XMYD6ny)8YN@r9tY+BEApHbc=6$=#%N@nv6X zlaY8`OBgHUHF?%J!TewJG!r#(e>LM;O;7FOBk}56RZ@3yI zSbNHo&0yT#l+!EZo_MRIki%J`OZk(C?~GvI8jO)j8~OkVq0qGYi$xQW!FtM z0SXyr@>RCrS?iBUdCwyK82?T6HUs_9IN_)%aK_<_fbR<*O#mZlQlZxs)}g5H$}8RW zBX|mU4R!S0FUwSn-gE|^MOm6v^Bfh2VC>T{RCp~yb_GYw`i}3FXOVrnW-dZ(QfGk?Dw$|u0j9?hy2~9kyyewe9v4;VbSjIvY=}M?? zXyq*4XJdL2G*IDBFlrOMy_dBtF z;7#_@GGUZV3G%KKQ|Oibl8QvjCXF_l>S>!o6~xz#tShQh-UVUBxIs(}v`BT9aE+^U z+UP^O5R5o-7la}1i4`nz_G|n^)OrA3FPFdaJ2%K6G9Ft3SYfbQj2?6cdQm+nT1H+!;8(J#870=M3f73Dh-^}T1o5^I<4Ca% z(Gp5D-Y3GVFzx_f+g+=&(rZktQXfXb;%H%jl#+8Nvcn-?VUE0%9@$FGN>@g>nqz0y zOP@JQaoG7VfWjM)xgr(GVSA%_LNe^QF*gO&nYo0_=%e()PnHex5uZS3-4RAk>)?2^ zi+A7E5JqK9L&yo&s!$3m{5ojk1Jx`auf$g)P=<1aA0w7cRta^GAw}0wLEBW}Ajs-t zAya#*^PHXf-K0*Ow1dNf3xvIDA+8Nj5hI7HL4JGA=$*32_GDJS;00j#6&CId*%L0k z^Ir}$Y**^-m38fpc_eif- z9*vFBj2BZ{@q%pY4s61oCWz*ddA4Wd#KU~)m4krKMV1q?NGDpDNyG-ox|lvQHkn@t z3e3qkPc_cTm=ao5*G`oXU^)T6=-g!?%~|o6_%E~TFk7V^*k*w%k1KfPH_m;q{~d3UU;pag_#OF={On);xAJAn^|u}jyWxCr`}l*#Z0q39 z*Uo)AQkAr=zjwcz?~%X9xp%L=4PsJ0{?p(7rxkeRrT^025C7qh{{}74xep)x3B zcpJn}C!M!%AHTiBwi-OaSIAl{Z|S?Q|9a=X@mh;n`j78^pLQQ`kMB0Sn=gICf3|zo z1E2j@Y}bJ%32#C?@Y&jJK)~tRfAfRc2Y6{u_z!IF{vz*WuqgrWWc+&X+}2k7#XesS z+j`k&)x8f9f7v@~OLtd}-fuZA!P8Ga&DYnU@S4lbn{_Roj~rk9i2N41JsT26>keAl z-S}r{@Y<6a{M7N)pIVi?sloeR^)rK2`kjT(vca`$dr!aVP2b24@!D!&^~~?&p00aG zzthm^a`yJFy|&fhbPi|VKRlUBSV8$^IB-W^rC2w0s(lC2k0z&P*TBqtkE=nNc>9F( zE6mk>J;ggWb$dG*Wh3h>FFJljT#^;)VnPQwfNsLEaV?ecC9L8tq_D-g*=Op&oC-~! z1L_fU_!1B=2yrY?yhhK@?#aeuR%_Uu7n@HpWgT51OxEHCya1Yd3e&`7F~lpCw|nd| z$HV|%B&37V+eoe=R<#nrR#U|j_+cR_>6cVH@R0nH!)cFzlu1g`*dz;%qz2Zz7`4<* zpRN(|S7qodBkn`VFd>tLwe^;iE^*iLN>X|I5_F$KzF=Gg=Mf@NB;vX*>MbCHMu!pp z+_-|==!)8_7?onE5pYD$LnC`?9pZ|ls)lJ$O9JqzrD3+?O^b0b&3z))qJd9h>qr2Y znvwMuefnuH!WxTD;=Z4Rw7qsEmEaVpN(u|DIaivP-v69>lwFXda#dz{ZF+2=b1kNz z zV(fuwtsyMi1jvHsJT;*%im8?ZXvO=O5@&?jZ6e^sI_m9rbx(^cQ|^+y@9WXR=Wrq0 z3LSz50UFmd1EW;`BCG5Z_&GR90c|?La8X$Z3R)4T-vKjfX0UzVV%c%y5?OVu?BV8EKhL0Mf_Y#K$?8JIJh-H8=^0rJ_vj57dFDZh$!KjHSV;(OeHQ)v=WIQ4qF&50~okOk? zeDiO@d7wMP-1S0MNdZJ~4N==ddVn5no3|08^871_yGuo7gUNu z-fELT1k1%`2Q)T6;@d4Hbv8r?4d#J@io)w-fAQL713&f^!RHfkgD|YTMdYji;w6Mf zGPvn4&+}V>Fd65=SeGcmh9jIrc??nA5T)vgu?$xxy6D6_dd0DWHRq@>&Y8D z9VA?ak*l~y>4dW2_^ck`io<0}*g#G+ct4+V{kNJI17G4RsvvW_tb&o7$Y4(8)|toL zO5(g7WI*Kha>|3Utr_$!xxT>gJD|5slq|A#JoZ6$vL{Cjxc zzdU}X8*lg<>^M03#K_)o*NCNZPacbd{lu>6kc97iXn5(t2VeP0`K`qBf9vZ_$`}2$ z4}8CHU;Ah@+UUbi2F0KI3(tOw{7ty}o~!S1Pq~LXJbNDQeaA_V`Dx$Zz3eTOZrfZUW!+ zU4QgPANk1J8K1I6!SRjl8{6Nsck!FP;e+4sK~ZnGV|IwUzV+y%Z+n}@+S*Crm6!iT z{^eiZ>uvSi-n*M;E0X$`{@klxA^*Sq&9D5Y^8e(Q|EK?2{@?$*fAjCi|G)mUTY2iB zp|gI@eZ^N~Sy8+UEw_njUqET_#?c$OA|3Mq^W*L7Tie@T_jMs8{=GeEFq?hVSMAnY z=iR%HFGzZV-P8SzF*GCLAMCdyWTm*oX_Mg6(*I0_DtF;axGwbBWnfrm#NT}3eEp-o z@7^+hpx*vR&V7j1`Z9JW;2UNAtY0b3y}&2b>n(tL2_XyAzyjn>0`jv>H5BKG$zk--!llxh?IrY&D$QlYVfL-->#NinG*y&_4Bxejp~FlcgEG%09$hv&pi?Lrskq7Ld8J~ zaR%*PLrqN82Tn<)Q)95SVySt%Z0kT0Mu$yu{k{46CoOC`^Qs@ z%hiZCU0ZfgYD{gG4Q8iGw0u&E+nAsmq;ax7v!(97`qT=io_1=B7}3hV12tjjTG?Pl zJ#!#aFL^wf7+11d1$s&|N+=>^7w{SZ3YGI^977LAnoOn2GPNq?B9#0HCMXmGG_F*) zfTGREe7>qTMWt!(uBcx}@{@pYwBWMOK!bz@hrr4>;UuW-3uHO!UXdOVn&oO;>Mein%d|TKk2CeIMX?)mBU!cI{e`w4`#0lW3wcY6Om?@ za+mL>Q*01woah3{NN9M`0F!O5A2`|vT+y(mzOfjW_{NG#ToI-U@{Q@aeC={)?6p-* z>ekcLcIX77b|pK7={WF7s{r>L-ho$0`|LxL6o`v`So4aU4hHqCfZjk8wCoKP$zC70 z2I>_)L#SS92dR-*>BcV15(zh@LSubrL2gnE^ma;_Avv|I{bQCgG($|jLyjS)P; zP)rNCXmpi3T_7wkH=go^9_W$6F@m67z|g=xl19@wWmY^uL#ZAOFrRy~BDfKoxFcDc zhOw+B^V)Mz`k=xJ^er508oks8e`b4^T+s>?ue>+5HOIbLPT#mgYQCc9o46i~WWf>D zEHzJRRHOx=#YFR#eEI9p$}_7c7~W-vN_Yyr`I4ABOez@%TMN~kn!WTTusTU_{luX{ zQ)F_=KoEh|a@Z%pcf4G0F)7PfmPeCb)OWsIjEr^4pywmEp!Y;?IcDV!^P96pFYZi| z1Y`~NjRPL%Ri$o3l9F-@tsJ2}5?(Gxv3 zbSkrz&>PJeTlaQ``pOg>Tx_^wL@KmLcFMDoFH1x@jRdsCC}=j~v2fJthsths!>c;e7M?!L{ngnYzivR{d zFhec;V9``rgxmOH0)5)W>%`V^ut%z2lVEwn)o>E>c55JDprT_DzUyVDTDh3TeT$-mP-XD2{WwqG+QrJLW3Ul!Q zVjfGH8Fd_+?|e~FjKCWSp6pqDQ4V@EgT&#LTl6%72ovEvx`0OulnXPV*jw0_!VZP0 zvw^-8wkYg7UaCA_XWr;&z)c_<8hx~+kLqmSIpgrE?Kz(Tb0O^bB?n6tW^g18I1$wV z+>yCT!0De!H=z46*y&#ayB+=Z65JyxCkD>xi(*Z*mE2N4J^kB#$Ln_V`~Rh|+kHvQ zxl6xFb5HOEzt#k{8sF95d+ySo`{t0Mc}H(CKmXn5T2}S3wXvl@4_s%FK-5FG1e_X$ zcfM%)-^Dm`X_MtK%e~8Z%W9 zf{sl{a@Vlawb)9eE8+@r8T5oaCDAXHXzFqy`$lj@NzsC+%AlQ^A-DocY2zKUU_h=r@n<(VcuIAx{-sL0C{O44x4QKd7$8iF_qDW`Tv ziUALq2gIx>C0Ue#1RUvG&tfUm#Pq|j5s$g)i0W*pk_8}55j%}w3S%UuDl(WQuXSa- zmWPi~A;Ho@mSm|eRZJaR#S^5^Oy?318!4Vl=-LXU?1E2cfP@(j%Fng-3`hcC5Jf*# zKy;LjpQl75=a3tC^33EzlUHh45GqlDP~j95G2>A80S94I+-bw4%rw-46jE7St~5>9 zkrD`!0@UV;uB(IU#?E?%08^L6y1$_7rd}7G_g+RQ6@$$J1zqij5VYgCfVKsz_X=@YVg!TAmKEkgsxn2WJRL(l znGw_)sbIbmTVvDXcI-txbu5tWvOvObvxI;7d5Q8k3g+CCL@u4Q2(k z1GB0muaPCF<=E9-ffEpU+>jr+JdAorm`GW~WcJ4RW%N|6>I|{FHy@4$`y&ndih~%GoNgE zX9PuKq>utN5vXQjG1sX?M{qa~qIS6$a_|PBsfHW|HHa)3fKbSLgZ+x-w4@wn<1B|_ z4}FxUa-^=SX;v$uoD!O#VVqPDnmCP;GUj=fR4AsI>P2$G7Ms1qDRC(cJw*eJrZqz!)=T?SHee&l^k)Vy=yYyOD> z$Jc)2H$L_U*Dn8)k38~uiofc2{d?rE!uj3$`f6M=Ox>ZCfP2@7Z-48ze)N%#5z!lzUzUTkG}FX$H!0p z@K3MVN<2UNvp>612w7M&E|i)>*0{mG@!Q)!a=f)A?gU!7$ckAf3u&J0`1qec{%wD< zrOLnb7f1h_{Fk%RT5$b~KJOpD@-?q~%}@N9!v_#f(c^AgdziCk_e<Rne~bZ>2N4?QFe^7o%5_tmeyN`+ke znCPryrS9(xo_Xf_)*IjW#^dwnx81|n9$u;Mlz#8x@wU6l&!8>iS+e){_fJSTi?_u; z^w9OKz_j)5E*da}-{M<;@1on@`k9-5+{BwQw$x2O#(1U%9%gniA9~mPeDb+9a=&O{ zYSal!X#$sYamb%FpPx)z!d4B{mtItHYJ3Oy#?`G_KTjoV`P_`hysYaYrS4ez)tULZ zC0KEUZDBRs;@17K>n{;WgJ^N3l%^hk-bmD4En9M*67UVjD1B(k5qCaGwHu`+o^%O| zE~s;{gEZj4;-DyCKn%a+$~Vw}OTu+4)$mKeA}bHlxHa#Qjrc}r6a_Iwjo;jOlWcl} zw*qpA&^a=>3JbENOwzd2A}a%F5X1^|k1F$KI1y#yvHB9CFW~@{tWzEEOG8V!N`*E+ zP6vTtF$u`2+{l+H6TL5`3^aYz4^p7aMjD4#j+vp+5wGHTb$OjvAF0t3t_F(W`h!4Z z?0Lf!{UkxkH*I3zTb&`aG-Gl5Ic*aQfbD6ZIBC*MAde{lkNJyY>PpYTQXVW!VX<=6 zKBbr2i;Psga;^CZk_Mq=CI?LxrkowG!K%WUJeJ|WPpJ_)pv)RXe#w?;JU7o&p`j=oewh<)bo34r`iOPqE5P9H>*z>_;hA*4CNzI@rJv4xK zkPYac^VQsHD67n7#mv<#2JNNc#LQZOXB?ma`T;)8Y#zv9QgPlYAfj5rXnLaEh-5{o zuk33)WpB;0e3VPoh*|P|m#zb&z^Gxy6{Z{(!x3hue8`G!O!`h}kT?%9r*agQMbL!k zY!nLYLT2i3=i{kT+xTfPX69Kss0so;5ZeIKe8s`ZPPd!|%+$wh&b2`qU<8jMYn^RT zqC?VcAc_u~{E`D-PxttIkol-6-D!Op@enwv>I(hqV#eHK?W%blc#_A90q|`CQ?I%a zxM_{LMK#5*_|@XeIA*mzXEmq}>(TtEV&*qH0zDBa1{@&vId&Z1!S<}s&UcRHwVOt4 z9Uu-D(G3n<9v7_pbj=9|K7p4PoeRm2Rz%`0SapL9k%k%vaTa6b%iH-#%WU=909n%x zv^JXp_CV@f-RbqhP7#Ce#eJJ<8uJ(uMn3a&Ab&F#5JoYGhbnewqwLY8Kxs$ zptR%^!K#TUb+96EN@c2w+~OIHi910((^K}k)=077I%}t!BzA2P6YK5R8ccUx3ZojP z1QI_%ESG(rCh`29?5Xa$a(dJ|Y?RPLgN(biJ}8yJ0_`x6`H0tKU4N7>7NO<^(43(l zFmGhbV>my^d2stRFY35lat6QcbP@R)1O!`~|it36|s}RXfW#iYb^t5`G2*kYL zq7aKXk|FCDhmO#6p@!mO)ZFnJl+K&Q&8kphG;%Z~!9+E!Rmt&ibdU$?+4W(hwgCDn zglQDLks8K?G(4XzB4?q#4YtVl=Pu@XdDtJBbUhwy!CN57X>o1Vs+1OH3dB54AojS< z?2O`w;-*tkPd|g0Ys?Q{QSGCL?j)?#;C{_y?xLPnXfj>oMI}+EL8_PvQ3$Gw3V#Lq zx|GyI&snZ{9P*iySz0msAbgh_UVCqL+QyCkh`T)2D1P$b<-~8)Hi3=rZCt;^tYizW zMW^Qh#Dyr6|5^Y2;hSwuk_JVwv*Wh=7dADB^xCDt-px+Juf6=| ze@W?g-uQ{{SQo|$1ZNW z^V@swb^X`%6_$T~uNg9~CSc%>yz@ze2dif;jtM7aA zb#_HD+T*+DZ4GWSHfDtDK0D*8^7w9gUdhYW7++)MFRhOnA5$;CFeb`2W8aeM^x|`)#_vD=s+WD>E8I_tYZadDGupp;@%U}$-^w?d z^_}Qr8|!P`tF6R#-CL}y>FzH4+rafVY`sCRgKnmj2DG+^AKRv?)e7&626cV&rZmth z+f5BvzIt(YdzTjV=$6hrX&^4Gdwq&8ZK3p;Z?SI5Iy*jjYVy=G51l`M;i2cA+T>Cz z-F3S+9^HCWwm4OTlX`DTxn2Ap0na?t>uqXKDPbXkkZ2e)dj zzI2V~X{pnu#6HD~yinZhSBT$pYx5+*&E<37-LZ}&v`uJF6dQAdL@iyqbLpm%LTxHB z=t%C^LUKwAb+@b0l44^3H{#`^4o6?`wqSP>xYVi2#7*J&-2+T-kw&Fg$z`jWAyenr0 z6ALOuQ>dU&f}9+36)8-$uyamEO)6{5sT?D0p>nFHB-=<<=0=_x=(1=8R*f&ua$Je0 zU@9msHZw3p!Zw(7Pb)ZSaRR*}9Nci`Ttv?ZrY61#r#IyGQAlb9NnU4v7LaNdbOSoA z)FK2^4ht7r*%+{hN;zo7iRA<+O$Vo2rJ?f_!Ju|IjgE3!PZd+?n_=Fmo3~TxDO z&NYbBaGlKIZacVC&8$##N}J{RhK4|`{etitJYHpqbHVKqa(NijF>#pxixU%Z>6#5l()|CCJJSf>`-eLP+_vq7_wbP zD!%&%u{=;AqtnXTSoX^P{+L)S-C|sVxqmq?CC7yxX&o_8W3fW7EEiW;i-|r9l(4zi zCFlJL_;3Yt=b`4(z^nNA!n15=o`r>{cC3c(SI({6pcVkI%Nh%68A@vOPtM>Mfx-R# z2U@^kNeibM7Cq{H!GE9UERmJAi#*r^fjTSM)uwv4%FT3NZRj z&Gjxx8k1V-l>=_B+w1ZcJ7I4<;t4baiIz6H!z!2xv7yym4m?NI#Le@u(NltX_*=#R zegYOw{XBQG=;%rM0-Sn3l~@~SbH;CP-l3(*_l%1?enu!0qJ>OW(oc}}S800Oro0T- zW?+1s)A7fI9K@;J@TEl}Hu_M8Eb{c#TUk(F6Eg-rc;~0y%I_zBlCY^9X$>PZgQcw% zL$1fJo?1mLZ(!AC(RPKIy3##fhT`o2FHYtiNJQVr^r`Hv`5FBYiAvD-Q=W-WSvPbr z#?H)FS+#iz67rU*@heDye5cX7gV+&Ef)zQW?m*8p@}t5Fvk#3%SK$EzbTXr^Xy8$& znD?O;F51s!L^wR&3iy?9v0wmeHjpJ!vZ$V>=QnXKkbI<2Wf)-{{2E<^XtQQ38qo17 zd?0JL0G$>?WHFltN~kwWJq^OQloW?4nO*4mUJ z;$m(}tq)K#2!*KY(8xz_(n}}om_BFSu%V6p7KmjUByvI$r&Yunx1K1~WUw4s&`Xd- zun^Ilq;L%w5ApU9Jaw)TtF2Qi13Q0)Xrf)6O`h3(4Y8t)$9B?SNvCS&gIkWL#m< z1d%!-VO@$1A+VV(`V7_R6Afmx7VJyg5P!iD)pE4ZdliUb8-uutCuJLFTBS<6sxBD< z<(iUE<7N%~gPY8Q`NHJ9H;_Z~(>n9L2^-+hM6S@N=L;lN1%+ZhY?kTNsHq5+|1OoP zv5;4>TR0+`bVeoSjPfF@+%zO|WJv+ta8or#eDG1f9p=``NNqkYvW{QY9 zfW2?L4wbvpBM8@k*S%+W;5oUe9;V8`N9ate2*&2JA+1&>vxRMsAO??Dm|KYq6npcy zaGb{J7{nqn%OcVU^^l}@trr|vE(Uy1I>7aH7-Mouech!$fd`$j_D|XdQchN7e%-y0&=C zQ$`*kL|-kS*4%;)4eUtA8d2v-cDD~})+(XMo*%PsD*n1hK>hA`rjnOzLjCb;qlW8inZXR&}3OtsGm zu5>bHa5@cnQoyg8=_Cx)EhpiuBIAPT?qD+9lyfNaSrxFNj9P)F<_B3dP(2Rp-jPUf zV!>%viMDYc=TiAEAV;22VeIZLe)DiU0PlG`Kz2y>gVa4Q}1vcf0gI z`tQ%1?f&<_@ba;EKk?(g@J9Jx@eM!tFIm`lMd6F7ciZ~M}>vun@Rci6e{4`2Q}R^PwQ4P>-FyL@zWMh8VKV|gY$Q+fin#(uSVhB2W(dF z((buB1C@5>>AN>Kvwq#aI_$4=)wyq;GaL90rTwro%;+u5_HF-|V;)eCTq}_rS3TFP zq-7p)*yrAizn$h6)9jz|nPXE*7TVo?_?Z@e^_1DY*FUxQ`qS`jn$!Kq&Y%Cn&w1{> zW}}B5dg{asm*&*;7o4R5rqRvAz3=#`_uah)7j}2Q@N?XIF^_Zq1dzWDod$n!qg$e` zJ!((eUq`z>-D>Zlb=itteD-4hDmz)7q_mh$1KM+A4a{+utz+lym&Gh{@s2(c*8#n8N1iT@PMjVGcUpR=x&_fIP>fT7pDV1MBvq6IzBpv{ z4R=`sx&}L$xPin+AUAGxPA9o9!r0|rxO9(G-@ZuFCU(EJbc|8Kd6*iQJMZZghRh35 za2Dv-uoQ)1MFAB8G5*j65x*S_7=nEHadV{EWeKzHmg~_jMiNWm<;D)5Lb{vU9W_Ne zx*u<5V~pWILWWV5i+bQ}|wCVj_nTR~H!40#Y2>n4>p zF=32}^Dcnb?2h_3`W3#mb~iN&W`e#7$rO!X2o*=hp(kL1q==0KElxEd!-Mza(Mq*4 z8T}L|2|9&vHp&|*xrr+#uW_T<8eH9MoWz8e#s{^G;(TA0kgX=1?U*0yu@Y`j=cp3Z z&2NC#;ZuLp5V=wr*b+miiIewQ!RBTgXIssZh?RA903GULiYF+tVkw%8NIo_^X2Q%; zLsImZ#nS^t%>V~u)3r2#C=#i8a=EE}G|dqV^n_}?kq4`-twV0Yh^@fN zg@cMoAl0%qvhJ5_QKg7s4dn)Y8JDWW{3tF_O+Y8nK2vyCuu1~PiDr=r#pjoWSt#pJ zB%*m|Xw;@}{H51p+{gs2jC4B0pm#lsqqd4lW>vK_Rs$#)_bFZ*$4?DniD*T8FxaKB z`jV_gjw(K`+cdmzvFAcBxUnbCF%LMAQ7|I*SD;lKg3=vC3nbTqYfg;O@uwnKO}h%w zB1m=rsgR)i_7T0>7keMkm zBQBl7LPDb1gcY@Q)-9pcGlKxBru`jc`*5)^*5ztp<8Y1`HrJCcQ{U7B0ax1|N%VG)$e_+$YLyz%!`Cm zpoTnkR-DmVHNbn>J)#tsox5N@fpf$dTX#U1xY0Z0iBL0YUrlH{4I)XjHMf_RX*Dua z5Bek5K5|V+jr+7WWeb1#AAXLFrMKU=%^PMco8#5NUhn43mVW2{bI(2W)U(f??5!^~ z_!mh7NnF+7PrmL;|DJHyx38zAp=faad|eB7cW~jtLr+!JNez+(F0vA~U3;oG5W5lZ z&JTRR+7a*g39c*Gr>?%s+b~H&YYAx~^@YaXd1TVSx!poo^Sg-o{u65^#Z};`Zm(snC zb*&Yn$i&M^+WIfM41_vzSwgP5&6xS!;2vQBh}>HpTZUf+6f`}OCIv2pJ7`9zYin(~gq*FJ~Z|IM#yH7LvLTdu$Ny4AE# z9Y2Kd+rzzSu(!3h_2pmw`@i36(CgK81>8QrlN_|HJJNJLC97lgL7k*Nu4(Y0^t@r6 z^Y*cO)8O`U?=OdLxVHk|5GR{Vkr5WSg3!?t z$OWT5_OXkc#nl;42II9oLWjm=V-V2?(6lX*-2sTJv$ixNA-b{V;!OHDDF(L!`J{-= zbg*@>5HIK~W6@PdRpvE}nW`-x9Xk}Z0526dvK4jK@vv#%s?3v$Y^&u#_gUX&n>zNn_=lVfmS}marW1r3AQ*jAfj_ zYTct=OtemObRI{Jwn8nFbpga0Y!MVpBMUuzBLanM2{4tj234}P#^FuNQaQ9lJbgo> zV~$PGM(-_}rY5`&*`e7PNs&N?l!XHwhAU-}N-HL`m^p3t0tPKZHYk%fF>ggkLdzXx zX3(>FrB4w2E8qk9~ zZJ3aG$~lkTQpDI$m)^;m4o1`Gf+IhfZUc7Wdfl`l?5&CwUfZ9JI9D%zkU#H%EOqFnV(57hp)zrB=w;H{Z@Mk~H^Mg06y#Lz1 zC#$CdtLr5mcdt*+7upodClOsXyIrsgwR7*S-%)NCl9AVW9{c+0J?ohJ8#_gV$6jm= zmL>Mf(0vV@w81Acy8>Z4fWB{Ti&N(fG363o6Y`8Z;9)qZw>)QH+EZLNufV;r=MIC9 z3__Th)pDxUHC?bpG3VKw-YK1Qn)}ec>?)!ZbwxHWxR6O%p#1o8@x8ibl zkhUHU9uIZ#R#kotMd;!&Irj*1K;VwZ@7R02-{Y}FnZg=UmyLRfcQO2z8& zDPgBR+>sCrO;d`sOary8@oC>UocXL1FI?nBnYu$95D-2P65IgvK8W zwJfD=wuA5>v;JBFDJ+WDsyXdlhYO_#ggbH zIemnOk8TKP0Kr9ipLvdJWhZe^&>&Yhii2K1wUjC3d=lviP85ew;aR!VUq=p71f^nd zdy6d{b}~+3EGQ!?Woic%v1vo(OPfq_^IC&vpKofwML#{5^3fsZ?RdYAQvOtR!${Up$5Ny067!$V!xW;ZLDi{(0Ue2JPP16K&#qiz!nEhYaxd%vW z3JKIFw~C+)?PIY-N!?&9#@0N`E^*U{OtYj^ZN-|nq0a{y1*N;sM>M9wY98F?!tp6j zBm;$LAJ$y^3JZvnO|lPRL76;uYQwOn!U0&3mQrXFJC)aSL-C{Y;i6=mSo8j7V3oAX z%EeL6s%X~nXP)6(AvOcP7R+hh@KOJs?aWCQf5A+F)NavR!G!+|7N zIT*v^_xJlqrhGd`z0shjxm9pq7F>jLEZ!nw@!-eHO8R?A=-HtE7GPx_hL8( zIov6kX-BsmvL5cl{Tw;WwkK(gXviHf7vFE_9WrsGM+w4OyNEtsaY!P?0ok$^f6nwG z&(bD+ULV-+EvN)fL&(w zPD0SEy`SV5YTRU+)Mn)SDT`{9T8*vaa`bM3CRqO1c| zECn_+Y&ED|eQllJ?lMmzpX_y5n>Ut=T5-Dq7lHHVkGF5$yt%c#r!9&!7rs6lF5K@u z^ex}AIm(tG=J1a%?p<5vuRU@3cJF66r%&c$1dD&&*Kw!l_g_uap@F<5@afO(-CcIM z+UhiT^y0-w*G8>yAKM)L`ZzxoWgD3vy5<{++k9A;Mn?;j2HU8hcnGF}-L80gICO#Q zQ`2(UuvGEENP9_rwN6lje&2nK*4I=`oPht5Hk|x!?CjfAaE!F0^OtP7cdYv7x3=D2 zyYXkfR&(%AvF%cQ^V;#V&pz8{7qR2L?QQH>QDfBC@yYeg>$%=b?EAkz?R(YMENj@& z!0q+>OAUB!q0zwIPYpi!D6{*3mUh%PCr>fyO_5pD%`)+07I)uE>FzX5+V|?Q$JnnO zVE42=X~4$Wd+Y^g8gN>_02*AlAPxR?ZF;vYrzwP9tsJ`|7Az$z45t~>8K<;&zQ>_K zQN-^P>eYP9$=4PyL`%OJ>2$sKNIKwG5YlqH_NOd$8=gf1n=q)rOc2S>ZdWaX57Frd z6yD>`6$FadCyO(Ho(8{^E=nx}LJ0!ixC!^o7sen{q631Rg%pa-(Q}kX*|MZHVO_EE z=$-qSI9*46}bql+?0#lL5{(^Pw_rflo!5$QxgNm7jY?n z8g*ML+cs$0cl`s)M6b4Heo#rb!D#3cCy|mvQVlfJ($epBix*>FN-H%fmLRx5vT^1- z%e*rhM#abHR5t!o4qC)?>;e=^k*VDM0sKH^O(<1IK|Bh%0`!SiVwD>NqA{D4{)(4? zibG&rp5&D?Ji0{au~J_~bs>gCaTR=-zQ?2t88A!rtT*frgCqt`PK*hZYgvmGh~^HM zQuob;+7MIPrj|cv(_V4N0|@vc1deRNjCk}!Hh~8Vfk!$}`wT<|qc5CuLkET(8%EbGlx#q?-K8OJ{J2b5G4{etL_{tZ~>uHu( zj9jLaT|e3fLm=yzm3HS|o)L`#NsN*ig41ryn#Ir&ohoe{1|S(-niTFz}H_I*kqplH2r3YvodfHKQ6^U|ZYliaWB1)N*0q<&i^0 znylbC!I%Vw^2410EhCvX>E0WT`^0OWeW66paW?9N3#1^5@ecBK4h35;{OY%Yif&ccc> zffN)Yt4Bxk$!OBiGfpQ{G#OUr$mxsdxoplg7y9a^^JA=?DoqA!L;ieyS0NR#Hoi6 zwq54^_2FS}A+t9Sxl_e9()mM#4)};{9L3(ze1FNo*20muBlvP1yrqs|FEY{w^z1XB z*a|G`Oclk8II%A+hG;rkJ}b!`7tQ5+G%JY%mc!yKhuWV`>ZQhvv19E?Kdxlw6 z#lRROqBiPt#n?2Y(X?{;%vL~CJvl1#M2ns710fqTk$e?Y;k*+b{Nen{pzbI$cYu+l z0F9GoJP1>-rgTU{udU|0Mgt$Zi;uF*Jr85p-6JDCat=s6uc*gO*zx_o*Ir2Lkmyw7mH;HKp}1GM66rOW*5{j!Kw-+{GLX zdU2ZKomBJPShWKt9(Yy|kMQ)6 z0A>iK+wtrP;>EHG)PVq(6Q%0xnt7^*(QeDj%C5{?!>(QBbUK~}4VI?Bn7Ocb&LqLR zDOm(s2L35<*>I0&WUHD?0FwA4id=qx#_uk>UtF?|zAJPF`*m)wtb$s7F7z4N{EmS@xd`|tYu z@7mjY*Sps7oroWO4NcrR#%0a5d7V)s*i{X9Ep~I9s|2qbu8&$bguLj{<6nQ`^SAz| zR*hu!HrDvp(#W4?wh5iF8cGQ67mk0SWBZO@{_uylu0QdX-}-QeTMzMY;@AKB=MVmI zi|y*P#o#v@tYF&UiUvBy^DL>&pp?? z1F%w(A{&MEmGgSzpi8$NTIWo(CoeE+cUPC^#~a>oyjNdaecqJ#q``#?jAMc9xVb(N zW&jh{pBuH~|CDasMT1YQWAuayIPS*8Z+HWh(!2Uon|zF^&)?X)nobgmxuo~F{p`3` zEaOPj3Ya^G|LP5#qR0M&t0_+VuG0lxkUv!e60Rw*)Sz_kp;f78g|Ry2S=Ye6U8Sih z>qdrH5b)fASxf7JE9l=B?d?Y~`=xFqVMf?f-n&x6tuPNT@Ho1T%lCwoMlLuc5dakD zSuK5asD5NCJPnP*-LeHjJbD(+O}kqIG2sLShwd|V)^$x7ts1R!yz#{ju?*Sml~@#q zdfD2P_+UvMSm=Q6Z!-c7PSCCAPKdlbVW^E@>>MEt)OMyg5m2Dey zSK=053nq1<(T}r6l-q53H|XVZm( zt?9SIW-?JtV?`+^r8A+CpuzJjh0O(DF!C;nN_|7@7iDx;8L{u-=2r%y%6Pg{Awdv0 zas~9ZXIVL)!sZV15yMW+GV2#8c0iy{*=DYYnl4U2Rz=0o(Yq{;XO1&MVrdL+JT?wg z^8`{YOf@~0?Cs$-VAB9m8#@pR9G=Pd!`eIVDL?U~Gab>SKQq~sz6{dk&E_yK!B z6+RpHeKze^R(B<-v|7ZV;47)k>^YS-K+_uOm1zCC$)ze4&6TaE2KmYQ6Wm4%LLge8 zzzu+lS=4bbs;8MRsxj@RrUbZS$BG&@IfTwQXg?)ktf7F1uH^}(9=%9jWv)obEAhsR zA?QTUOs#z?COG{8`~FpK!NSgi@tox+#fY7`^vsaju6py4FW}((u|*I)FZMCAuu4!^ z4+*T9)v3XiKKF>$Vi9ZvO)WqyJjK8)p)kFRsCF;`jh=d5MoFLwY8PGHuhM6uWK0H^ zW45)UV#Pm+VuD@iqjYnu$|z)Vsp%#jKjhx~;>uid3#&F`Y_kB1p)&JYhx1P2M|zx_ z4|3ja;8LFVa>|euA>`RK^9&$(d_cyT3$9nA`joL!QxmPA&S#9Vj8NRggfGHQB*K|C zLu}xa-oov$Rm6fTWsJ}xFpmerX*{YihZ4n|fu{}7Bw(PVkxigw9vltq1IQB)p|=n< z?RTYL$7sABJSYJpHr|R3C=(*?m0G)OP8U$v)0p{C0<|OA3P=ks9VCcsvd~KEE=Ub) zN&YN)rdkp#V(oUqq29p2cpPdN4K!v1lMN4b$!5U-RdG~}+3MWozMc+3h3YfxpH6Jn zo3IuuFY-{YNooWpPviGYS1Inx7@Myr^H#)!yh+ojAw^bzr9E7g2CPZf!IMi0u~P?4 zq0Uhtn}(6Q0)FbJ-m#&bBl{jZ37!oM70F61ODeOaU4MQhPwWA&q*NWSH_hlMXy}c} zX#~_Y8%qyW*&rtT8D!VUBAuWF3)HoF3bx>zKu|N$9+$||FH#}e9Izo7XX2zz$wt0@793QhQpSgNk%Gk>O#dakQXa2Y_d9tdX{%HJY{DbTdva81r9`m7}3#Ru951r+QE?s))bBC9nz3^bQMmQs~`>|$T zc>C&;XRs{MPgXZ>d^CRI*Mzuu+?NKr`mK7kVGQ_Wu3-PJ>@i%NC%*eEyo(?AC5KA#Uxq-)ZXgu4#n(fQ?}F zt>QG|HJ=gh%lZsPDvf|Y7kQUH^NZ^YKYhn3BVAscU0~}j$q=YnD_d@?jZR&nhY+MRN|q9)!qn#?f6!cD>H5Y= z@u@&lQj01s4fndcxUf2EU*ifYeyNIC5uI~6AeLYpE*d4*iCsk_tEXJw>SXBD$L>6adZSTiq+l9~o8 z46E}Yc%=%2DN;=^m0JE%jb$Jus+$w0MOUP27LO*`N^H>VPiEn|>wcI5LMkvbsyP%- z51@EJFc_O{wT!^NG?QH+1o*MlG$VI~(vpv)Cv70L1>-YE`i&S}<5^J7f}@3!fDWK? zS0ddzpVpx^NTGpLSK7RwHDqF#GGC~CqDh$t|P5Lr;q>h`u!E3FPB+0O*E)HzkTEV&^SLuNa> zh;{3hSyD7`NFaZ~&2KSLmpd!#MJ?8&t-lKD63#Oqc12@X|lD}im=Ht9Au+0e6o zg+&*v!dT49lJ76ob4J5e>0G3Z#d3$|BMtp1(GQ{TFX>~^PjU=84!?g=!gNJKzE5(- z=_)4Kb8Rfj!J;6pFHoV`BKnSw+(i$1fV3Z5Iw|v30vuZJvZ&WMN}jnPP7eVshyLOU z@h%6-kcmze$Y-_oY#};bIpUM7qZ99oez*gjX1qdQk&yNC#c-8F0#@l+z8ENnx{;t} z!5U`RfW-u>_%2=x8M?U9Y053X(Kk3|`)Z>thl;i?p<2tq=#iSX0RB4kNFA` zO+s-y?eI^buXFGvqhdwEK}i8tb6E7(a-d!uQeEV{DP1gQi{66T=i5ufOUL4g-p-QF zO9mTsA7enpB}b61YZ3wj>I0Q0a!Q~~c_8|t%fUrf(l2nsKwJ z^&O^3L$5dK*|etRxFtt6$p#w|iaMKwMdiZ2a;TDoo#{#r&L}oA77HU}zPrSTmho^C z-}FzXe=;PboIX9>@A}?bmHStJ=5}=FZpU#u`s2Svb58IDzt#k{8sF7#`M%rH8K^#Q z3Elm?XmfTt%e=*=a+~{}=setJI>B3Cv?k8;yI6R)@} zoY-Ly%}um9)f>@U5$QYGscG zqdF3Afam9g0!LOcQyK|~(~c=KR)r_F3Ys!vGSu7_?+NsrFXBLA`rzW(jf2q zhKA?#3^@nuDWdQ?*m@o;i@b}?*p1zQ`3WY1d(};z}6=BBk9xoEdTS9C@Sopdwrqh$=7*khWaRt77;(HGOA~3G2ON`2lOYkB28_lN z0{w!^Jx}@d4WO|bPx{4J+$}^@E3(K8r(sgVEaoJir*NO14x56PnIF>?<2MzfXLz0A zrc{@o`AATbU1w?dme}DwLT(F6 zd^qBL%h0`Zx#Q;3o~+hD9_G`70R!7JpeiW|3t5@kj99@97vmkKq9!{F1}R$b0ZBF% zU|heWMUP8RHiuCOIT~Ox(H%%s0}+c&8@ly;!MA?PqwA0^xckg24UY~8FG3N?TtgSs z@WUz9I8-9?dmp9-E^(6C0k)hNGDq`USK38n`a9 zjHWv0TVb-Z4Lq2eAy{yDj${_G!iRw;H5HkFlY;=Wat+k^K{hxz;xw{UDP>D+{6n%L zC$+i-Ugi!me0Kx_KcK!YYPns3;Zvsau(rg}0%r9*uZ2l4nDSz)bTwd3>tH^Zqd`Fn zQbLhTKw_Z9GnID~Fg60`tSlM!XT0Y+9MAfU1zj)pV{dq10)4KNiyH~21CAi40#paM zEtDYY!@7+|w&jRSpsH4*65?`haWtS05zxq3iXB+oP%aMlx!4rNqUd1|)@R39kVLES zX^4PM?F8vmVZr+YF;rk*J&y7W+ZNVg%UjO zURP%;{OwFoc;CjGdw)4-L=t3o2=hh;bMhsW7%hqb<*A`d?7G8e&4cI zPD{7cU{co)>+x+(uMiDdpa4Lp!Gl~RZwK8H)@Q%4|Aiyw49|km=$C)_>cy*R9-0f= zliLsTwz1wn9}IYe+7z}T`Q5J3UCr_4)xE2$@zwAMSZV;Fj|RuQ^vkVuc^|E;%+E)I zty^f&v>@FA+zxq8xfP!ZAz?jNeXjcecWcv8aWG4Lz0+^nxXygvq%dy)oC@{+H=-0YVKs#*_dzzC8bDis<+MBTbJ3UXmekW zC*H^z$N}`N52aZsE;P4=cw3H>45d)1!?Qx<(MpsqrjJirZJhT)o|0}UM4jgbHZ3A_ zYQQbW2|sgMFe%q_D6Bz;W?(&ZbphFqM+hxI#)~ciG?Nr@BdRJELB@XaNu#*htH$I$@*D^9-GKx)u!yL!!=P{p55isd3|jC>lRNuP1d@$*<;Fjy-F_cK}m(rj-~A1SXgi2%t(&g8|!9Eg($oL%|s> z_Q>MF;`@W(GO`X8Z|@(~@TGC!>9UM0Q8alv-Xs$KIO4aI6V1--H|B1l7a9hWa+<^V zd{x3%H_^1l_p}7IZt(^bt>crgF$TVEq@*~TvR%5g>$Z0P{b+be@jHXj=zZ_oI^J3v zv1o*H3bZ-5zE~{h*8lZi9}aKs_3FFVz_|L>kQJ-nY2h`&u3R%rg%yhjFK9koJ`N z@!sp79CV-+crwy|`Ga4=TAj)@ zYj)x9)mjz&E$&O}?BoT>ae@s7fB1)R+yyYYQ>(cvc;ETYJAc+#dQ*e!q~s0MtA46? z*Bbon?r8V)vGmFp#ZKn@Nx)pczV!x)VMDg9_w22<%|Q|bfbPni?m^zJ=N*%`;FSAOf?4BtJ;5d7MG18JzbM(?O{Sx} zVSaIVt9#3KeCNs3p=5TqNznRto_>S#4s04o68I%x8qWgBO}IOCH``jhOjvON?qW;y z-3R>LCwZ|_FdpDWq*1}0L)%dBr2OVt0UED)2nchzD(xdqfo^b)*eftM`sk_BF}z)Kcbu>ifBxDtKA zgLYR8FL<`=8E*FaMAfR7Pj^_i(!In~y)RJ=9ZNui;@6&s#r{@2A@+tOe*KzIT2cqxld zc?-mQ)3AL$be4Z-Qt$l{K>K{?EdS2(7Z;oA+$*&A#k2f-mHSerjIF)cEo!xBJM)V(^%WWHd){M74b#TeYHII z-nUtm@_fRvOPuzH=;AL-sC;3v`4rA&l3qxd-R?bxPvIiGq}odsNETQdYqh(c-;Hs! zn|Y;oE9#-Yn{+Mj=7_h&--Cb3y8ySBVz;KyOrPUFFSsWSHgep2yJmy@0z_YY4b}@u z6S*b$6V$MQ+2q)aZ?Jy~M8loxus*AGt5nI1cg!EpmX&&8ZMC$0vgB(P+ctn`l8dY5 zP?%lMP6$>C9UIVIn>NsQGEcrf^3g2cdafsBY^K=A^u>sqH%ZP2#OJFkYsxzzYnsi&Ce6x8}W{oM&_EqjXC zTcMQ6O45}egw=6JqL^E@0u__JR#R0i(R@+X&%o2@>o`mJso}Jv*%wBen6hcDzG~GM zMuD}8B+ENL2kxtWcXuM(A5}gTbhtkyyJM-dJLbF(j9g|e-v_ZTiTsby0$N4>BC4$s zu=WOcL1CV9dmeMH&r@CcH?a}X`p;A1-6dZez29BZ`@$XWi`3^KlnS~f+?qae;g+dI zytRa`eVipIo<%TxT(+;X+14dgFzOJbZKQ79WeUc5!cnpMZD+@yAh!th0B&UgeXOWB zSqAlf_0vY!gE;W1N1VPMtT11^ZGIDW=x=|k{puD+YDs|SbV!|5eJMK~ZNGd>)s9J$ z(ut-pT;Am5-Z0vXPJ1RbG&qu`Nt!s*cg(uDr9Q<8><4yhxE*~n^$LG!G^x1(J=0xX z)`7OdIt$qA+BPaai*D^8c;9xy&)|zE@UoTx?IiQ4u>ngu+s7GygPnIbqg7*Bxxi&l;%ozl|)}`79 z;&pLbUY{d}0?Ad9+Zv5I8deHfdyVDhV5Q(k3Yne2kaI+i1d>`LYacIc0%q?6mS2tn z^sFKGCPy8_8uw@d2@4@g{v>wZ&g>LMb3b@PIDWY%X%DdzMIT|9p6IdJzrlKuqoq13w z5y3fIuIG_k8X`s{ndq#6o|c_LmmIvAeZB(LK3_?65|ki<+_9;)KfMoF9yyC*Q^iaQ zh$QwB%cOwa;$21$DUe)C@AHK<`oun80Xe;om)F1sn`mpTk!sjgZea5;fSkl=%+e5h zjb)_}Go(OIDUe*Cm$frk{++-wJBQ_$J3ED$I3Dl0w|%^NlmCnC6xJhrF-Yk!OfCAM z0ntdC2*V@{CF8=cinD#9kYUHdU@%6vHX;>=OdgZWxOV8+T~+E!9Nbz!xG|A~7-pCP zUCFLnS4nRi!pWxYQbFbxnVWsk-b=?w*&`n_stF?TW1=zWnIDC6i59H}i3N^D8k`y; z#>ryoD|JmZJ0{XmLl(Gpnr2&*HIqAFCj-IUdBsd2l$}tPUuU`qQF@en4qQmwmYZ6p z1w@*5W6;)CCdGwAdS+S_L~6d{Xab5#wk828bMjlnZBMRC4HCmj$eO53QWSvVXLz#2B($xj40DH6~!qZQ{|`0-W|vPo<>WCwb2{fMbj^;J?71#lUr z$833$B(@V!2q6JN5Gey;kU^)hmq4p9262ZwcroRguB6DMQ zJ*&0Nbc7Hmq#(Rzp)x(mmS^1Dco{xZ;72d;TGpaVH?0#>gM=oT>rsj&ttm4*LxV+y zyx#1QbPjk!-h7MBTM2b_I9S~Jv!n@fsmNZ_F0$Cd(d+_7EG`-4F42CZOx$iOYsJWQ z{PD(we*~SgDcOj9 zz>K)nr>&%Va5!(oEeu0QEcwhiDY}7&NsT_2HP*5i!conD2^+yjJ9NR7lRSjYa=lF` z2W*j?JB=A7|GA0#uRQoxO(K!^Y?BEvkx z4xIJq7=5F-pY}3r!qkZ(dG+jqlc__0S!C}f38O@CF&{w}Zq%Dlv3fb~)RKz*xMU{D zdNQ-lc2)xUym4Ymt|lxNt}wZg@T4o#$~chK6GZn!^Q%HdwMGw$D2#?PZEQySJLpuI z#4+>(nniFoC9w)QXUXP9(XrjwYEsM(xZP;c9O5}4pl>w@vFYaua!zjF$=4W2VzaUU zIwIN<@zWFnAQ9|$muBFXhnoRlPdS@^V8Me93FE@Y8nr~g2-KUq6lO*3-YYet6KJqI zrMRqVqD?Gii7szy5sPpsN>N}Ur+HN6ETI36_Zi~^BCx!dr~RBk#B5opI_a5wB=LYR!DmL znO_c*`%%qxKO^^OMa5Whf4lMdR&rAu!0`%3f#tp1taO7Nd^Mu?m`zW4BC zO8IMV{jr+dx z*EMZb}+X% z|MUp$MMjuRlJjfi=T;yO>>1Zi(h6D)hY$XsmzRvCy zZqA81$i4TfujerH2F!=qv#Tad(DgUoSQeQuVaALZ$M%F<%~yiFndN2LB?yQ0H*}Q1 z<-+!4J(M7GzoZT*2){IW2x3*J#L_}t_@bu1_$4O=l^HmQVob-GeqAISy%Xa$0EG?Yb3K(|qB{)Qg_nV1r~F=^#6cI);DB?CWIs_!r@BW`oNOYiU6s|Yz-BA;$1&{rtB$`Ch5u*1e zWSF2NL*l(qB;v))n>iZFQ6&q|B%j&b9Fqpw)iV9|8NJ43y;&e)ag_-WxS2-x=K%LH ze`li*>OQ8Rf`JfxJOT|lgpQZQix;#cKlL{vF_#0^`e|~xF!9I*A1B<7LadQIy^sb= zSCYCa$%02G(H)_ZfNe{LG5zeC*8sf>LOyB}{3=MA8e#q(SM+-FB zcC)2#b^~g1=8m{jU!xnoED``_g}ZtqK7vxsbbCuBBGXF=0T-GRhI)lWcvUI>xH=sex~i?SmV$dA3_%d*DJoF)PTn_0{mtj?}$>dhNV* zLRuDGO0E{(BKG9E_36JoP2qFT-F*qU>*g7v@e5A<`&TL4e>A;z_6KHa-xStMd*b;g zMR*lkLGJ6*)$foyJ2l~4a2yDpTKUCXs;Zc#2{>ul+on*Yah1_cO4}T{&y6d)c$nAJKBCdxKtCk2% zh}R2AdF8YVr}<8=?TdshPS}dj$*n4ed6Ebu-;F+Xu8C z?k1&f**%ru(MKP(^IKE+uqc6lXtx-wL&sqQST7|=-?Y0ak(!^Mb!+WLBOOo31NcoN z>Mc8=^gs91<@e#_Rwb(y@?=Cmg(ro&X+(@^6IOoo)YqiAaJm*mv*bNF(CN8`G!I}W zq^V3*u~Ds+Ao@NCgP4Rl)Q0aLLS}twxN0NNL$*o}vVIVm81zFz|MVoZo@UZ@DxOLw zB1~3?@OUR+HUv7PjRN%pvlrMLp`T|^XYr52v0MK*qV{u?>^f%q^JR0AyJ5a89iD@L zgMfp8gTTKU0(nMkG=*wDuo0E@%33oF0X1E7d#n^!EGvN|VjyQ=#-~3BGg9JDq=gc4 zNzH__Gmx?aX}_NK!9%0p+!1hYC|ftn-`kI{od_zda+PPr>|bxpN-j@3SrTBt6;7}A zc`=~xUe8k3EVr*t24dM8;O?kOIoQK?m@JZtFtRrRp~>|q0j_3rAU`2e8Pm)JIEyK| z!p%VXjGR@5cIeDcE1ZZY#8`tFA3l1%6T+X`Y%ra;8l6#JJZUirFM}cM^_rHI&9#Kb z=%8K}skR3$Fu)tC+;6k8u2fRntAMv9T!>i^V=qsTFN*1@@yk5UQ?oY^~A5bwgnKd;f znv-@0xLiS02=W;e=w}i+@F=H?kJG_feS_YVE&=gO3As@^^*4FbuTqG@=>8^y^XByL zB4=EqEa8%K638zl2RlPAg(Ux z#OsIPym=jk2XA}fi*{1JBF0}=ue{O@ry6fl$v0F-X-eM{71mz; zqd7nPp`FXoIX@EK*H=vl+Pr@?w`gvv;&PB(uZoIufBMSKop#q?JF64(Nw)lH*G5~X zf8Ck7e(o8Y*T1g2W$C~ zG5vu=+F9W&eXF=xoa6FpIkD2e)8N9dB7YTsC!JzqNR-Kjew=9(C%zD*`lGR82=-cO z`gPAyGa2CZKo~AbR8f;mlOckDK%Zm+1I+5EiuLHlQqAXx(o%`@kdn>>LNC)buV!e5 zsi+c%G0hC+o)x6W!SZ&KZmxFc5X{2-q}gl}GrB=j0b+QI)U?~gr(g%piY5a3#cPM4Nvx}A_iuW!V~1=PzV z0N_Q%l?+n!NvR9gJn|Bz(e~P$Yk%OB}<9MTMKrK}EDhP;t$oj+L3jbMe$q!1}X_?R&h$nkN6 zIQQ}pPvpc9N|@dX#3D(`K7=B2yfLZ8bcA~$n){TQVRa@;Is3OJJ3b-m^-3|>>lfC1 zwv1`$lrbrpNFYVrJTdWtH#WUVJx3`|z@XoOMBKcpZ8jEWFP<9ZeGtCOP<)tEcs zbtPrZFZ;bN`qEiT_*}^xrS1aMguRk|*;89nD|e2-F|Ej>_)?bPkK^5H+^~5=T<@%x zC)||H8_VrqO z`}2LWY_dzh*7RP2sw(xYQ$O3K1cl73M+LhVZ#<#|{#{*k@-T{0shLF;>F){iXmn;B za8H=<`fsnjxHG?OY;o}bcM*FIAXEk1ZVJ16g@v8-?xOme3oDA@TYue5YWJ`}>dae|216}8uP{{5!TyMY~ z1oy9S-BF{n-boI(7&$qHZ@u-_lbmDcMoQ`fhEPi_hDt!{d@ zhjRMIm*80IhmlN%vivf*Dt=NhfXv8qd>TTki{xq!|Cj?>RtzQM=kVeeR{UkS__Y2=-$~*~k`DU^mi%-O;U0q9MtX#wAfASU<1mTtDs*UO@Hoz1mEzK2lM0V! z2e5cb)bg88+f6G5qhyhh1<;rN*@YgQ-IRAv$B=~O3sQDeIqp^>naFi0moXsPvF#iytU z(9sRqd1u-w1a;VBl#6+o(NSwqQd4D>(I0D;4JjlULe0)X%=Aets-QTs=mXScH2h`K z7wPnJLZ9$9Fvg)q2cyhgD*2Ez*YqeSz8VTCaaAR54#h-$@tGG#0|9)miuH*@IVvK+ z&4i(3E?YxkuA!t*CS0i9G#vQ8mkIz9XvL9~YQG*#UpSgAZm*;!6bTT#pCKa ztrRS&<){|Ib}JyPX&gdCv?B(k^07K_Df2{f$~Y>se~ zWLs-^Y-%8=CA^Z+q@pt!lAXKIVyw}S&@aWMZ*k&=KOyN}S&}4wBz+BALd!uX!Xmy2 ze+U*Fyi~R>)W|tw`1j#poO2)SFBuGcXewu~DMf2W0Tt2$2@{Dk?t-!854Z#IPUxFj z1V2(v);IZ^#WQd&7@baFVw#VNLCJDld_EY0Nm%-@q1B{$^+L+gpe#vhOo1BlvHlp% zCi&tn%wqUe5@i!U0_ODiU1s%oVE|Fho|3I1{9cByMWY66?1aQ*15F>7ywM~qVhwSV zW?LAzGvKoHH^M``Lm>z27U7FUZw#vyq0KriuuTd~Ld!`=7*&fVbub7N6Bu}GisQ|9 z+%$}Y8b_lw7$U64k|fXW3YbF0vRRFSFA9LK+%b=@=?Hi!YFBCkMhTY0Q+kzLQb<1# z$kpbIagKkuFm4057Y5J_AbQQkCRo0>va_G@b0N~X98mFDao086RKUw3{wDMQn#3@u z;V6&J1Pi+fQ$Y-(IC3T(v@e$<_@@hvqk52`(_v0d_z)0;n2p21bqX2Q^Nrltwm+B$v{ty(~A%R z=*99RjyoXfCY#Yy=vlp_cKY>%(4so(3ZObOY}?{+Cz>4eBoad&5)4a`I3lJU!`8N4 z@TGQtR7;0p>u{^{R-`KCrrPJtv-$XP(nz(u z{nqt!@yQ>)GR3$&4|Q}D;W-$PG+H2~fqTy2UBsXjizZGqjJa%XMRoP!#T2eU>OzQ9 zx&*9ZZV{`lw)s?o3f5v!Q}eRSS=^!$4H@(B~jZBJDTv{7qd zY|^}W6DG_ps(^8C)7ZDSZ!f&>zWWH+T-(gnFx^x|5zsZQr+j)vMRVsC6r6V+xf3Vi zn!-v_tf*@Vs;k4{&Lt=W-B*~WX>*GpN&r^ZE+F)9!xHlaX}4M}VwZ}`PP})gTdDbL zz@H}g*hhz+sS6I=p*3d~xi4c6S&C@~&*)EK_t33W^|2|;JCvR2b!%Pq(h;&eDSRJW zG&7ZjxG7w=ET82|-{6M#?b(x+K-%j?`$Qrw%>F7hE$Gc7N+8w>%h4<=%U^;P7T#A@ zmZO>u926yB)>o-%b}ycF>(;KVC`zG6_T^jQP3tzftEvtk&X*{9o`GqkqG)uV_#Ql; zPClNlQwbQd?@I}a*A=_VmgS%GqdSFJf^axrPCfFxU8Ux|Klc|v<)o+438bcd2;e7! zX(~=u43%+}DF`1waS_!#+o|wQNoGkU=+J@tsvwD}X}`%irn5-OjzP7{B`p&Kcr+9s zGI7*06_-@II6{6*r<|&qDbwo8G3#fwUgQ=NNfMukUe+d?%^cNH$O~&$B~foAaf~g< zijRnNSwa&r=tsyTx`!bLdYX(kg$)$}73+RNn+8=be+YSCg4&K2m8P}#7iMnLqih=v|#cC|QUkOy=gRm~oNRXCUqATXll z%_tM;C2({h5|~YE2w9ZVki_JeXDz$rwElr<{*Y@*G)jXti!esnEF^LGRZEJ@DFkE` z@bVA`kB&5nRCzks#bXvLn=Pq2?mZY#qex04&{HW5j3cR#AnF^KlnEIO#mFVQzNm z+zQ01=&m?(tSd{}Si#1w0|hN=G=RF6kjZLSRXm!Nnwn8mm5xmpgGg($UtoKG9ZyTT80i?gurArB!fZeGotQMBF&?ax^gRtuJ4E_xfWx;2X+S`6tX zDK6P^_(RKph=H3?Hc`gnm%!BH38ZKC#w0}}67k6~4`OAJ4#W-7f#cC6lL9V3&_oJ! zBTv#TOp*bq!Zb;Nl~3J+EcsmgQ3kXbtx;s)y?Q^Mxkm~75P}NB`o_cuQMt^rQOF`q zrT4ETgQ=JY@yC+L-4(}l0K{GeJN6_JGWOnSNNUvRO3Xk`)V_YiFq<8Ulv$c2gC6s{ z^(F#Jqni>wwSm{bfpAwMU^z8=l*4k3CL;>WR<{;`W+QS+A~wR}y?D+Tl!*`pG3fV! zW;_L{)Hc)}6cckR(TD*LQf82F6oXSk!PAAn^KqPT7}VkspI4#P$e>KE7~yV26+Hoo znVnGKF-X!?=Lk^HriiRIs|cWwGKUKi_W~59OAC+?7o!oweaC>+?kB5VG=N(|&8d6f zU)7jfc$os1I8m>rL1dAEgiWB~KtV#;B#rb4BAK)(H9A9-pXy9@UB;bA910hhZcJ#@ ziG+lR*y7(32!~i^IPGDvC~-1jQz84@4zqmi+I8zXIu0Mk@Vq_S4nBOiC{?^^W@`8D zJ$p#ro>~LTE4**tz8qWKpVJ5;@ps={vj-5Uc20$b0|was&Tc#2zh1jm*GUIs^PCbO zR(tU#wr2P4vNDplvo(kw-WLv|oZVP~X8MM~TC#KMsRV$aC3 zOBKzoB3`Ou*|OQQQ$(f2gL0#vf6Chh9_%c{a;bC{q&5a%VWa+Lj}-AD(SQbCXCkC} zCU>qlF0!N~iNvr&RY0(_=sidxI&;)J;8nbuihv+5o_ce!bC%5!l}ZPW!4ripCw(BS z8nkjTmw2;}o>T#A)E!?gg#6fPm_B^QYyvcONSalhDZDENlv1BbTwc(o=2X$ruvn>u zm>To?Wkq+XOrm$UAC zg4gdSB)kn#^kyA1A8JzZiGe&tY6`gmQbbb>;Hj%%(1AtCyGxLyeI&Clz-)qk0_kFL z??hZ5qFK)_q46t7?Az$ICYB{404Lw zUqsd#x&t6^$GMIkK|j7;T!tZZ0TQ8$fRcfFbOOK1am`|iuRlpeE5vZ4(q+TvZ>E*M zku_eUkd#DHrk~OT8VfHT;AR!UW>1C`*Cg?}OFtB%j?%y>?P3R~5V5TNmjvGolcuo3 zqgi3WJh4;7T+^0G?RhDsyJ6|IY_;u!0@_oFQm8Y$7fOdozYaUv991@~78ME3vOQ<2pQsoS5L zdkZUk9<`ID)pJtqFH$3zIw!t*hR@L9X+3VZRRoMQ zgWCPAFPGJ4g39+K)YSBI49s(LPf57dK5M-S+8Y><% z^>tXIh?IFw`gVnAun-}1@blewGA$X3lRp;5=az)V$1!G>zy>{r2C?O)OO|Z@l=@z# zjHU)J>L*d#L@)q!Nl6JK5GjUHA;xbp%9Gw<=OT)R2hdb9vRP=DeZr*TA%Hp9(P%J; zVJi$4S&cDRkE|Z9H==`!eRzCU3(7t*c%+f5*=LTm452O&G`|2{4XI=)%1n)7p)8s> z3Q=Xf;L_E>sQ`V-k`U@dx!n(;+!mC$&=+TVcanNq9EaCP@C35f8EurL;n8gzF=I@_ zpIH>Mw}{wTr`*)D1eRCGkucQ{_4I2Re6SWYhJ^8%D^parf=|anDv!XXm+_cahbEp^ zQMS!`1fzPg;_10D;v5&*WuyV9jug=QIaA@`x&o)c9g78Y*k=A{g9d|H(*wF`+o1?5 zy)v#5N_s$68{i%%;qtP{>K7UoI>#eGmAO==P;UTfnz#xS6&)gmon`b8u9XOGP?*OM zR|ouQ!u2B7S)+PU8|IR@p1e_$iQZ3Sg-jmKV)t}T4;3(hfb`K&x+J7{=~q1GGg}?K z0@HnjW2`?6hPdJODry3c-|#kcT*cR{Nw=;4g~xCwOd?A&o z2{A>*93my*H=3I3HEt-#Rv`vgFmc1tU%2eTl%7A)NH^#>x&lA(OHo4xEE#iS)-Wy^ zn8d~EaroLp*PCU`Vxb#gIv0IKk0vyzqT@*THw?1g1GsoqIp;GJO6+*4j`m%e2CJO0 zYB5fLhsbh-wJyRo-+F&Ntsu0I`;%eTaL!k$DMIHB1Y&}6|+dOVSEloh|6ecX^EuR zcEV&@S(#k|b1&NHrZ9H6DJzrZ&6`CDZv8JYs!u0&j2_eOeGt%&t^3x1=UJE)x>!YM zu$hdcYIMtB?v%UL-tsop4r8jQb~^F57ryk;OjbLA{3k|s3E1-~RIm^!h6aC_I+~Id zj$bI0;6k^1LeVl|%~1&$TNWYy_QK-gne0TrcOG1TzSqLAFVo$Oy}=3$_cvinYqWD#T47W$yE|AH=1HeD0bWO-jL6}jT`S75P<2DTq z+`d5=9hqNHQXnsJ{NRaRWl3ppn<0{N{B=(>+%)5J8Wj+Ur(1B%ib*|GP^kCwiQb;h zR45JJG3R2&q;pmpGFd@1mL@KpW1=yHhKiuzk_JfxSDZ~B$@3BcCAp;#oQ$T~ZkaG{ zeEz$L@)aXf=Mcnq}xM@r{N}7kVOYp;#yFdD!iGKmiF?r&-NtI9LcBz z(4>fwG^m9PBT6vhtqmx3mV>Wn%kyejDM-y!ZIMDfa*&wYl{6%z0J#KLg5|&>Ng$L= zL?S#AF`_Q+i$&0bIettc{(7EAZlvYb?y+VHdM1VXw1(G}PQi%yxZmwfy0||ftDkB};N|jy&RqT*;QBV`VguGh(}wdbWmU%T*tSr+Qn$kfF||xMuRK>HnwtVuEjtCt4|zP3B8eGC zPcT2Ik0fiycoy~!EtO`|t?&0Dyw znN+^Z^3cONLB{nfdXvLI?Infk*Lup~&H_8LzPq?c@)IsP)_?<@o~h#O#+l~uO)`It z#mK~DD3&0P9MYz9_hjZkgACH`N`fcBCN)UrjhXg}uINnF z8q|=g;J_R+=60zw!fQ||Fh)cEG#X5IQG`s=Z4a;`e-ww9iH47AM7(T@62uq}k{+Zo z=^$my?>2B1qg06lc#ga=%^hPBrZMTjzyN=SD20e{tf!brG%J>sjmRt`<3htcXDRoK zS5cLmbbu^4^hPcnBqK~z9J&a>W07uqj?p!H0JWKDHyLXOo4tabXcB=#J~zCit6G0} z-iMGGPe#G;XaixpM`^;JIT@ChQqb{+oh^x(9@(%MFm^faDPj<9vXCmLScbUnB6CAxEpn$Ew7Lhp?MexaH?sNy>h;!o2fDcnB3s}H* z@xAnn6&!N0pcP7o8zg0xsj_P6+J&)#$LYwp{16p5TjXjKkmFr(G!C^mRy$*wa4ijL zk^UsP4yn-rq6|?QgeH;)ZZ)~K*wV^`!ASFj;&GnvG_8f+zils~$40i#c8@dBV~R#4 zpq$MTWPBOUF(vmuQu%pMOGOL5^rR<_gamQOC88?fVNX9l#mNP#`x4OFW~5ttGD}c{ zi~rQHtkET}Vs?^gUxkl2HQa?qh%&84MLR|@>x*0ai&{55cckZ#Crsz2w9VS)>|~x9 z$~LzM4iFHn(EhUd)bHp?!QxzGPS-+){>#s_77bx;^YDhQ=m#gK1a>aAJ863O+%nCUtSdjtx2(Gh1lqBuB?W`wwXE6~B9=>!9=J$xXG&ZcyFHsfE${m0jgQ!9XM z@bL^$=7N(xQEw4MrHfepV{v+}mBzgxN=?I`3qCwf;Tl{6565s2Y1GHfROsC29#3)e zlT~YG_ehy$OBB)t$20;ol`$26bT)}fsKFbt!f-^COz;?d+|spy=jQDhT${rr1Tq(` zrGF9}!%S03;^_+INmz@D86u!(orSmmPuxs0U_?UrZXPo;K8usd>NtLIGLd`nnVAvp zD#uIbz6MQ10Grbx0w?!mh~&NK^b(Ml@Rj~oqi`p!1eCB1HfLTJ8RnR-)hsp|fs>c1Fwu{9bqOTU8*xhkZ^UX? zHC)TlKk>(!$FYl3sS8m)+xzBjLV9>3-aqEy?!xEnP>;LBK)4LEv~1F!Dz1 z`+cbInt3yFm<|FC0uBNW0uBNW0uBNW0{_|w(9`n&?ewqR!cOfR1RMk$1RMk$1RMk$ z1o{yHmnyAdKVWBTMeCIfQZ<{yOEk}`@)_*=M%j*mO#YBP$Ih)jWvuHd_K6m04@^^H z(l~xOFTTNjnKawD+CTiRf??9#?0S9F`hwS_E!v{+m=`@imp;PTpiZx?|kZflYF|@l17CFTBciD*NrO&l!V0<(kP} zu0C(qpm$v>*_%5q=s4vO*9K#6)rBQROI+_7M|Nlx{)kdiH$a;-3)?JQUN>z0)J1{q z3Xhx`zBn=X`^uxzXA_sM9dfPmMzVrU-&Q=!sH6=x>+My3oerG*1vkw$h!*WMec9EfsdL| zpx6B({Pk6%hZXEh{)*r7!011F0S|zE`(< z{vEqY-|;*f*{?5qFSyooP-Z*$A@vsT>6-_!7z>qqXH6WbuJnfXdE=W;)4u8d)Z^Y? zPb{zccJZmVdEbd%Se+O#_I%&S%@@!AZpqk#zKb`{4zufe#Fw=vw~cEX7?a;<|MiB? z+&Azs`2&691D~BWux;}vRnH{CgA4tWJZ$sg@b3yQY8jl^T3x=P@a9RS;cb)8o>2Is z`ZJ8*mYsd5@VWYPwcmR`x4!UTy=Ls#ea;PoPOHB({KA%VgMgWD(5O4X4 z|4)n0yKB(HlWv*+YSsCXL9etdFsdkEz%s%BSt{)}d zUj6yOr+la7XU4m8KEL&pjrEVTzkk*j7N7Et>uLW7`zy{U8d|?i{cuCYD@Bo(7s3aY zUiht|n_FHBAF8;1Hw0qKn{{6~?X8)?m(*vckOCm!m zCVw25w&jYpp}VI1B(PAKT{D7Dy(Dm-a%0q&{LR5PZMiR5R(#2427hnML(-WKT(WcUrr4w9d?<3!`sptWIocoxB4exO7oWCuVB4fsGYX3@-8!^sDx2~1;)M;t$h4cj z7%BdtyR7Y!7r*#Qu`%WB1(%Kgw?)NoHB?B`S6@*$w6I}H-HiQL{B-EqQ!a12qH5*^ zL%+0jR^-ZuW^Nz4%w5wwtK-T!Lw_>mYi(CwdgY;^ty2~Su3i15Zw=kEby;Ngo3q9Y z^G{h(b6wf2hlicB^*amZ-1OxM!)9$=ylQ+wyduW=6_;NH@_;PGn`!_V6KyBQ02T{~*{^eKPXdz<Zk?b zc&%=6*}sn){@tx_OLt8B_s54n(eOdt(mAugF#M${2iuk}ot+$hXay_htE#7t82PNX zF8V&WT)NBn zO4W#03&!vLkBwjX{fJLiXgd?@=Uh5+)U(r??|xv;wviV+TUE1a)mJBtoV8+B;QLFz zx@qL?1+yPnJ!kIuBfq!e`p6Hb&0Rn8u@$!@?=8Fj^pQKK-d27;yZ-)>e}8sa(~n=i zVaTY$sj;@^wKv2^oiX*U&`+1%&@pOi!FOjoIQhm~MqRh!hYNmQc;l{7wFS*}5AFC` z)uYmv$-&f+TbTucZ&Hq}7 zy3*IYdE5NwO2$_fHEq!rl$A_x9lBuah6N2J*Ly}sTF+YW*OKKPb?>&--?*@3RqLml zf5X13sQuE3$P z1pr&)!c)EdO2b3D5hFd=gu}JNVSf4`zJwUab_|>}hZ7sHz=sXW|tbbamm;Cro~EI7Km_UT}|r=vVIqV~DqK2OKq)a2S1g9loVG^K8;eJyy% zb2OAn)V>uw>^a(;T3h>m@RQb*l-gFiFL=b0nvr_B_F(X+C)JeNUHeHe%bL`yeQ^vZUVxMS{ z_P{hHCXM5l^Wq!amr1jYtNp|8Di|i+&92u+tuJ^@+M+EAk9pDabLk_EuU8Lw=gVdM z+uGO>zVY%^{GJ`BR}7HkyNsVzoq5fG=j2VsqdUee9oQtd8_!gS^}?%Mr?TJf`kXQ7 zQ?8lp`nKY+?xB%s^S`*O_+59Ubj6OD9Yfc< z7uVHl$p2S)$dBPUyo1&^29@A+JE2V1md%yphQ$yc@8Uo1V% z^S!#=^Y7SI`i|$>$bNm|>r@I@P{e^Olb#DEJXHfay~&sa3zhRyedAM&1g_JGwB z|C9ZKo%z9l*3FOa`sM!rvv$Bi*;v1R_gOa%{IvZ1{KsA%_vwK%C%&vbxouqAz?l3- z`>!{A=DvZC$sgz&ANcI7fo+>Vsd^?69$e_3osG??sIM!bXxtT;TN`?8yxg+lfI3r zas4Rq_Ug|MKIJW3RDUMY&S zybwOH^uliy-Q4n8_)x_~Unsh#<(=^1j*IpbZLQz09@#MAo}#^zQWBf5Rr*V|_}W}$ z+5!JGr8wz+HS!bx!pXrp?_Cow_uoJH%*eosiHH5qO+IJupxu)m^zTLr2ulpEVdt5ZJ=v?_~g#%!#-C(yoSc~YG< zZNlJDlN&>yYM=JE!INU&U2t0L;%^SVY0G`dvf@iVGx&R39+J*{;F6t#H^m+;9~b_= ziw3_vdE<=FZn$*VkfJTmE;zg5(hWn#D?94WF)o`mq+0oN-TB&Ohla$JH|s9gc=->8 ztlRSUnu_t$&mHp8DHl5Q`wB47cXoG zMyB2L#YpiF-DPc;y!gdeij65}FSu;{zbz_$tD!=gzWR#7p@j`o>SpY};-^E;o^pBH z6;(4Y82Y8Hvm#eMG;{mVW$v2hSsho-8TykcUu(Pi(kl-QZJn|xaP8_ZeQW5Rt;-^_ z-<&mOn19NOn(NADJv{83t>0NN=cX@D7&dF`>IHMxetG+_r47wBH|)OZ+F|;XH8Z}h zUbSaf%htz2H(zn}l3{PVpV~VwevNzh0QZ*W1&?2o7=G5)-_2OK>)KJnr%(CA-rKy_ zJ}`Vi!)tYm%l>`b@b7MYTe@S~zdt_wiG~mAmd=^|h2bwvIoP&*>Fnh2Ln~N0UsXMI z#K>p8b39q&-#N#`IANjo%*GGOhZSMM!kFB^Rd2iYEr;pq@^|tc++4c92{QI-Z zntuH94MRp1PK~uSue~8Y>Wry(g?_s9hK^BF3%)z!!O1t?GU~b&KV0zh!W(yusx4@) zduYeksz&{&;Gw!TiLX5~>d9xz^6*-&3EQ@i6=Pk4C+};_1-3$8YkE_O58z z`AGFm3rC;2;x~1V72foh(H9l$3_ZU7=BcA+6}X?W$EY#r@q_vRLL!Eqc^SCTfTAqyvd_qntHHiQ^mZWk8UqGQuoZ3`MwfwrK>qP zZT{Cv)Rn&G&D-WbS2Dh`sA-F~psZwi>(B*TH!NrFtR zt6D$Z{2TU-AC&x}@~p^jZ}>)gNpfX*^7(BGrI_8PiTbf_le|yoGZ7UZ> z{xahBS!4dZ^7iCw<8Rl;yti^`v%zdhz?C2!s}fAP4|qLmF&TWs++O4ZiJ$X^qS zpC~O~`R$rF?p=JabfTxJ?oEBk_|h*{ey{0o4=h<+dY$KE<$a`b&9A5fd zA(kz?tHiONUKraUa_D}%Et|MG^Bq(BsR`4SuKc&60%tM^){p;5N^PGdkAR zmIr^ga$oX@Qd=2(!E+#RWLE9f!IwQB%{cN2zCHjeA+NtJIEfyS?Rita7_ty}8}xk9f}$es+A;312&&E$aChnUm4B@HWwmEcKj>?Io#P*C{{61sbMtkT zo?v_Rd-?yx%hS1Eb#0KIIi2Ho4tH*k&T>0!_uI~H=lCZ(+$Dd;O27R@l^xq(_)|<_ z!^6!?s;UNl(OZ}FfTC3T@U4q^_X?0RcI%zpD=_vNGA=qnZ`y|i-gy`V=-`q0z<>D?s7TyISVCYgTH8z#N> vf*vvHLr?qv0F!~|EX3Vp01lUZC$hrsa2rd7ldLW*vq7ut!5w64LV1_1O3AaHp?9MFg_8s|5mnaI(;7xgzYvcwaRMV-;Y%o(*=A{2?qK zVc-D{2+$T*fv_gUdVTN3-Z8N99S1g|z=8V$`9u5Sn1u0t z+OUKr5Y3t)WleQ)Ir%ruzov!Yx*=!Z=e|G=w&Qv|7 z)kmh0{Ydds=|^7Z{l6di{a9uHW57SZC*i$5p%`_am@I_TVW zm7IpZD&2ZIrEH7p+>;a?X54w+cdx90`U)v?m69ssC$2!Ia_?!c5_b{k(qDx3befCi zw%~9L!{LJ2Ujg6s=yACq<#J%7zi4YeT8d3oLMS7qm}4(qJCas84)etCd;Y3uz*o+q z%YXmm`u@)6zJKL@Kj-%~@V*8X8ZfQ5bKBrYTK9eD2SWq4EoSGwr={*p+|GSZGjB6X z+Zk5pZjfONu6B5%G!Fw#oBtYUw4*!gaz4dbsU^)KhPUDch? z3s`p@SkZZZ9MRNGKN9te7qF!Xg9$OR@_=WK^g$q+u44hdMxo z8dc^l^;E7UxrfG>5WhzYb|Yx8A*qMX-AJ-Pw(1P3kXEFVkPNDcnI=IXc>-js;z(&l z8w;aJW>hs+zRxVUEc-~<*1?#$hJ;Q+x(PoLeH|DoVPt!~Nf?v2?*dj?CyTYV2T=k^ z58Bh9Aj0y~&XwyX5UMrMYAOkfaatv|uZmu#sqe_9R(p=%KMWLJ(evYC#lB zy#+-Os-fK01OinDC0#R?fN}L&C=)<;A@EEtL`vC9b?+XuT%21tuOQsNYZMaS(Vflq zIp2o}~G*|%qR#QpAfn?~I0 zhs_e~?CgAE$4Q{Rt?o#!FTZSzxPQ~&nP;|V&%E=@J1@StJ$vre=U(l~cju+uUGcy3 z&erkv?C#x{UfMeTu^;>T*Sq-ZbDw!e9X)g7nHw*@sE*9b=H-raC&7&yNTBZCy>n;l z_^GGV=!zUkX%JDfJURmp$*ku=i7Sa#p^Hx~V?E2nvVK zB#$$StiucHvHI~Qg6}KLQCt$;7PWw0nHpmHp_xZ-vW|AOHC5sD5yKLO07a*WjJ-$Mv$^Uf}XS+*N$71ep zi;=DsC$`Ms1tbHYof2D3m5pqnMBs{Fs>Jx5a|3f0kV+CR17YS!Zlh*z;W!5WFnXriiA1TdmK#7e1uY5R4XpmeU0t5G^l@fDKN!62vu8`g=z z@G)6WgVa7JWZw*~L@ugOj3uS(+o>%llA)ZbY07xB!cseE8(G*V9^sH5FbtF~TyBhq0;pH0oeNuENQ23*Z*0uqyN@ zEM3_GpGyNpl-7=S-Ka@Js%dP(TE(hzgf8l|OJ2~_N-1K)MN_uS*4C=2bspj_!URT9Vk1yi$^SXPI3(n@XA_l$(I{lDqAiV} z)Q6}AzKN1@BsS-o9*$JrAM^%N?iM7YfdwHJyH<8=Q|h77L`R5fanA-(ZHC2Gt278` zQAPzpiWMJ`v2@0=t%Oi|O*tOoX1(}e!8DCi9>Iu6wT4$64h@QHv?Lw!2xdXK>J#Py zVHl|KMCkxOttJTR0_lW;$1&X_bk*3lctKkHu(E$B78n2a72#iT; zozGvLck^X1)oFpgiZnMp7&o>whstOY8mp|z86}FIP70%Yh3aLE&g;+`87p$nu^C19 zNSyoZ3+?-_HP(8Hv#)hgxKaj%&;_cA86i{{$Y_(%5Q0+Bz&LOOO_8N34^%|m9-3Mj zkq=g&0`XEyHN%9O7y@TQ(OT~Xw)H)A=Vlj1Erc1N!3&2DKg=EerqF}tcjv})_wIe@ zSs@au{pKC@+&!fwcrkeQ-L2y%_FtdR!mHPA-!31#KD$5LJ*tn(*Vnnc{(60UbNk&n zJ@NZ{dun@o`{)Qezr`0{DQ~H=y!GWTKlRi)hxPEyn_I`Kxc_E{uU^~PQN`{n_tjBrKWk>Q zFNce`qa$SAR@hzJm=xRFHzvhD+S)l%$<3p;<+q^R?AzUybPGTN7dTsjZ!&5u;G_gm zeY~?*sXh5E=r;SR7nHM!=Qi^T%GuDBQn%F>X>gK@x&Wj>gLf22^Q^ef1i52m;|v1dHeSBd-d@HC-LrWZyOK3 zI6w!xyTWyMdw+HW2<_)T?@P8jJDPp(3tcIne;zH+dxb(^wtf4yQ25Y!SEF`zp5Ifu z(QQW=)epqAU$E$4E`hrB<}KG-KTqWf?5ZPTzXy$gNT9ADLD!vfcSpxp$}Yj?MIy2u?-u42b3K`8># z0HneqxiJIX75qe0C-H6~BasfGwXj1j9x}94IFnebG|Wn8lkvnLqZa&XUZ$c-YDLPZ zVHaU9Nv(96Pg#QzKHkJP;6(%(J}(E^B&~YXRip}?k9$>5h3No6dI!uv^&s@pC{-C| zv*akN!6JjKK44>NkD0itaMGEx^Y7$eqGDl12dZRR8kCWVjG6=)e@uk9y5|6>nY;-_ zOrlpn>`1_C0U;*y$bW6W16;&&$W)+KqJEnQiilsiIb))lAQrzn2m^524EgW|j&D{X;R>)F7b-8=10?vmg&t zU&L>yh-M)7gP>1wo+cV@+CwJe_JvV1&VXpA*t!7`6#2Fsp&&3XGx*?VbyDc%datIg%6kh zj;}EUXyr|b+froIm|z%4s+IyyO*d#r)M#K5VwzDC)lEUl11K6=uZ^HR8}dme?;zyd z5u4tDO47cb3`yTKy3BZRWGJuU(T$<&g^lW&BCHL0hEqK-bx>0YREA+VF@bKvCTL7i z)&_jps|rtXwgf)*W6zF%NNnPdJzKVJhwt2bExvO*ymu8TyO_>hr|2l(cTZ~IlmF75 zM0S|qpa1qJf2j*>a{u_Zl{%kdQ_2PI&L6uTC5VrFtwoE$>Y)Cm8!!C%BHp&>Mf}g* zQ0mSf%qezS0%!7i4nlD@XnHX}B|o|ru5M>sf?0s3gK8!n5)UM`JSFl#*Ozgv1Jijf z>=vpzK`R5$2?*4jlG}j?g9BcE8t3XD!-g?cS`iOjTV+M#a?hx^cABs8%g=+G z9uOCFi1XaC$B1T1xR!YqjU@*i$77i{wpB4!!`!nQ$#9&eO80Utd~3OgB%;EhcwO?L zj&t;O3S_2QVg>ZG?upL#`N?PO@ zPL!GnJs>vlr7}=;GSoFoi&{?%S#g6z@46l~)cep<*BLFv$xu7Cx_~HU{d*Z`OTJZ{ z6l7U&Ns^eJly*XyO%h~D68GaQ&L(DJjXD^OT~?Dm&)D4;z|>W(@<6ME2-j323zq@* zKFf6uoL$L^SJy5)_Kd4l`7l4!$U21zrY6j@5lbbtmN#xwV=ChKXDOzqXn?xSTBvD) zs7|{-;^@G1VgJ`4!dj3VTPBwOA)+WC3xDYy#Zf-+d&CgQI)LMIptNDTIfVrEdK@A0K#fG&E z)0<%v-Y`8jiuq)$Y+u%k5^F&yez_DTv$-W8?b5A*tCVc`ErSf?4a`|IBr*E23_+_T z%jDkQ2@|P$SW|7HRZKd0%}N5m{A(H_+BFmRPPgozp(&DanV5!58&2}h;si4OVCLKC{~;gb(>hFNW5 zl@1X`#1|B8$6e36Bvb(!Ge!u7gehjki2@Tmsnh+ zxHJSo-lmb;-2!UbGo347{av~49n3pfJAdeC1L}Y(6y2BMu)|*5{TM5D_dI#$Di>~7 z`|h~-J!ybG-mms8WgrwwLGj*e-`QP37bof3cv%RL-`%_374+`zi!aW$Uw!#GBcTpI zQ{Vo!gkE~_&WqwM;Hf8{WZm(z->#A$esY1msP8lhj?_sB?%WZsCnb3H-tX;BdTMet z2`(z>BcnqFZoLmz>OsJ*8{S>KB`LlaUp$&U`)QU|ckj+#dg-nxu7xN6k1BcRPVWY< zQfxW5i@2zJVF}cBD}n0u)Mpp$MS{C(a%cOEdPm$v%*A}H5^jH~P@nsN`tj}LAFI#t z#%;EaH=y?y)bq^^&T zU3*{BZ5N(VC*kk^!|zG|a9yTNyXq`zY!!b>C~n6~=kDyhos{M4tg(~*1_}1}cX!X0 zK-P^fC4swLnD(}T3*7oIzQJnVdKtI3@85UP>Kg#!v6AY;tJeoiqFDdmU&UT7be;sa zZoTQ>4tOLI$UIXi!#%(_v6t@J62VaFZ}<`rQ^C6~(A|EWR<*mXMz>VwI>tQ}h)FEB zCbL2xbJyE+M_nO$$Uq?J)Ar(~`en-zqfxO4h<4=Wr@1|cvD^dChA#ElRLil#pWCm8 zAQqpKClReWiP|`Euu-`#DhjiDDb{F|?L(#jVkXEqF-bFCx5LL=QNEBu zAb4l1O3)&ZFSThWhP>R=f)~zoL3o@FJxZ>LW_75Rw!rsols69&mqe_!WSEeHad8gm zFM7$}dF#&OToKX1T(Ax?cS~#%)M~3SUvOo?IJJCbI84bgj)!qq4O8hX`~@;o)bqrb z@VKhoOn(?pQt4zYRA9Z)DN}>xYRIPW?E~p2u6>aHL?wF!mGux1hnCuRB8EoF$2(zK zD;8JeO=MbvMkuLMc{CLGjOM!a!Ohodjy{__IULC+rA>dxySj(TC=qe6=QWx+h(_4$ zm{9?DOIlJ~#lG1od8(iL99p61IQ2Zs(>)@L)0nh34}34^YS?kqDxQ=&jx9HdD zFV;I@KQRGc&^#+uf}%#cmkNl#R)`Oc2%)rl^H+L=7XG#^a^4>qK@|O*S1=ai2&n4< zG1^0zNq<~|nzFmsN^b33J&3D}led8SY+5~AO5PqHAA@0^z^_n_*Pb6?fk&=rj&T8HkcXoT$HajPx zTpl^BO2B)vOARZ>m ztLy6K`PZlwZ(|?7d+gqjmGE^!SH&kKkX(*<=~+N*?5&$yH(lVGFP+VdB%LrW2ak8( zx3+I1^D;fX%Os~2_?UqA2Z%rhx_=l9d@ zp({3Mj%VEAZP1(`CL@#p@QrB^m?DP(8lgFryXRb-_X zt|BwHREBMTTu2ctychF{j#q%1HU%}_OG7Nxo{Ba8EY$s2y9$wIEI#CI(@4DtbgmD{ z)hxVM@rhiv9q=l7hDeST*M#Q>*a~j8j7PETCLyX#F4qI0EN)A~7p8TP2eRbPXp_5D z)VRE!T+ZU6<3eU*mIdaRh5o20A}#d1?wIEV>)h`FOyrAF)~sVB84PqoyTpj&Paf#X zOE`}jbf(E7XRytsp8HKkqnPC3L^^yme|Yr>#i)fh8EF+%eC|v6dEK;@dvwrv%boZ~ z3~@i#()goz5HlzsL1f)(_`q!$_K0&=QKPEfI34ruZErM6i#{@pdkD~|^q_7>ghwmH zNX6q)HRHo@!h^FzRr9R|6~Y_1g>f$;JlgCrh<`|O_*gD)*vcEXyt6A|dGpq}a{a-8 zosZo4ei!s7zMm3gOo$A3yAUmOlTW9RZZstF1kib}3wV0Q%~u9sxeJOEnxBJ!p;A1! z*9MZo*^o(eDN@+QBtT18z-Gp|bA$_B-(^X@K|A9}op!83E5MvlT4FjNd|iO8%EVj4uI6WyY;0P_rNv4yyef+EG(?yYagPcrDX05MDK&m3 zCjp)kuhK@Yor&Q6E7z_zR*;tuXqQB?lv#=K4bKh~HiL@^ij`SsYnF%G6c(kEBWKv^6s5gF{w3U0Kbwv+4_p+ zpNSr1=VMF>jFn#4iQ=a0q%x#>cxcUW7*(!>ay5+5HFFddmr=@M-)2~J&&}~y!ZO&n z1z4|KX%_>zC{y!7dqp>?%L%WyUsDV0L`-z&lQAJ?g68fLd4ig;9IRwl+sYCW>44jrqWZcM?xjFM;j3gGzmFEdYX;s%WNh*l%kn?NH{{$n(-x}nICOAAm`HHPk z0^a8y(nL+jW52NAiHiYseGsm&64pak^P25deMW|`HhfAlsj`W#44s7E^?_v=^qot1 zYI%@Fj8JZIwbRNVgK*iQr)%xdVOJM-XWJ^9Wyi-i-g)Vz)zz4bF}^U>1_>nX?p^l(L4vKV8}Hn? zbIlSwrnVOHt-m?jg|k9G?%t3s_I}E_izE;2>(-e(qutr|{+mZ{N@mB=N*9~c z&>|l^crAJ5m0j5k=^9~80=2z=>*&^80@>x3U8KOO-5vE765P7Advo_%SN#@m#CFB{ zt>1EMolXaWJ35jcsnlo6&y+qa*Xx5#5(sx+3of6Tm!JeBd~|f*p|9&w%^B7B#+KW^ z9ShEKr|jk~_?IB+{JQfzq{}xTj#Ycn%6Z((DQH=H41r7#P7@{;uL|Auw2MA1;w*e8 zKu5D4Ue3}B(NUi1nl`p`J7Uky>$=sr74-R+=`CiR=(Y7HC`^EdGsK9XcrZjkevW?0`t&_w%n=YCSQDcpWBCtU6ba}jTm#p6+Ku69z+-9 zsd%iA4BWbl%-km)jRobY-m5G9ihsZjgjdUTNn00W&>R?cQw`I8rqh7^X))YH&!_2{ zXMWcW?0z#y2ez78t3qCvX5Gu^gjTp2PQ!1ez7Up1-|ySf_GL3$+8@h++$_hZrMGfK{P)Cx=8 zIh$x74enkc*7>v8@ZL%vy6|aveeAS|3*-Oj1m*7W>)-o3`pGAcc4zmqfBJ8~bAsTJ zBX+S)XnaVZ9<>CgjP+YPTRT$B*=*Onn<@S+K-}vF#{Ug~1R0hrdbHugb@UjgevdIfhKfr+g1o&%wa z*X}wvmDW?88huU#$Bc+VHY#ItQm8Kk8^^=0)JxXvIx|UEt|M2%7cH@CXI;vw^PF&f z+Zq~_;M6d76eU)ai@AhqPOx4caq^klPBZO#psh13si|v z>!p;Z9`Yb1v@T`aN}PY`QaOLUgt?%X{n)a~3UQZl5Y-Z#k5o!(N-D^*`02b4f3Yo# zt_le1_BUd$7b!6A9xzb)=5&tAa>U|nL)^jNLD+|Xg!a)q{mvTl;v*&7{L`b z(Q?>s!7`_OyU>Ak9G;Dqla2S}t1xW;gT9E1qmb$PNmL1H_MT7u1I8r-jXMc8VIFlB4Rj5hWoz!tv9`AL!Rbzuq zr+7~A;K603`0)I^lmG13CG8(wttLq+YwD@`@=1RD`zq^0oL}wFT zvg5s-93TIKf1qY>Y<=Y`zomZb%I$3-)FlN_d}F-jC3xbA>ycm~i}%U&({#vG%k4&B z-a54l%55nSpA{cB1UcV9&Meh}oC8Dm)gWFZV~fm1VAba$GPyw@jBJ!4S0~n-j*)}?7e%Dx+P_~Nd3I9aCu8oZ#OTYBn$|g5u zUg9;Sqjwhrjq_-h13awcMEvQHFOvpJ!@*-rT1hGsny{5~yz)>bux%yCtVNyx#rzc7 zxhz!62|N>#fMr*Tvoa+bd&+LjNyj?&^euuEQ}Dnrd)% z)SQlb&_Z+)e7&B;J527>MzgDAS8cbz?Q?8*WuksXM*@5k?OcByTUy_tL*OwYTp!o5 zLzWe-d8$*cG4EoZ36#C%MoET3Y8}1isX?MuRPbgki?d^gT$mVW>4K0`dPmCkK$2I^ zw~nqi60#EEG~(1)Iy$)ohKsxtP)WQ9SgKr)Y;0+}Vgq#*i06EOd8u7Fwg2Xo9w2>y zwi$Cpxnd~D)l0+KnX>J>Dgrj!&IN1bqF5e{2YM)8gm*vjp9^8_$C98{6ZbZXq;~1u zhkZV@#AQ;;U17rRRRJe9`G}rlF`bU7eGYmeb40%|SnEl(4XqY`;qE8X0q1K`3tClG8bp(EkkGmK8mH~2Bsd2`^o`|Lb6#@A5nc6yJXm;_e6*Fk zjI#q!i@USCK^WS{beffpcyr<(j=|b3Wdol%iZy?9Vqg`omQ?f_(U{-4*bRtG6IdE3}rKTI^&exr;Q>l zplP5gX6yXCN~Gzq`(Ky%V(vXp#+o}>ETBa%5Nkf@T`jcey_;^e{>B>B`N7%lgPk3= zh`wLlbxtLo&6mr!QNg`%(iE|!QDyFkxBHg?f=@o+VkhE zHgEs_g(ZmiYHUmWY90M)w7vCsSz|Nb=xk zXQmg?=9|y`>CPuxneM;w(jw+^zFRD`ON#q^B7lFR1i|dTb$FgE=mmH4P4ms2PwsqD zFthzP-dMz(=hLvs8S42<1MR6>Cuv=fZ2W)d?f^pITWGBK$V-BxWK=fJdxc9aH+@oz zAZL6rM`Wtx-XNgmc7#x!jT?8fb{D&R@$?JK;%>9RS-h5aG2;MVu)up?o1<*f0@!&$ zt&iqL9)Nu)(1s!zcHszO$`}tt!I&=qT|335cK$dW)DX&7cLLbd&Seu|T;u)j>NO=^ zC=3%QEq(!^6!0m%zr7Cmrdk?;RBzz&!z%Wepl8LeL?>s#hIorr&?uc+ zHC031$uG-#3H%zk>Gmhv0d$oqatRoIRb16vGmcRb<8}#zdaleR-x7(VkeV^%=9bfp zoR%spO40-?^dhf2~%6i#z{<%~y&-F#{oPGQ63zMo!(1 z$$bLXkVDjHs2bdWpQ%`^~0t57=0Ba_J3Sg@HMeWfPe z@|QNLmSfUfP=*L@SuV87MdHtcIzZ2C{SO4ow1Go$->D~TI^ZH@43I<3Wp)rW!6ZBw z8j_gtA)RvSCo$D3mt~G;`0w5Gk$;H$LrZimT1mY7N!%WOaRui>Z2%!30(e9G?rF*I zViVkcY3Gi_&+h*2?~41O{{NCbt?IWv^r0XAVJuno79S#e82skoH(T$8o!wpb(>+rH zUY%|w_^et;pq{Sle!qL0{9z=xu&9rWP(J0}Y?t^fw_f~>q zBr$(lJ~8?Bof~|k{_}jLK4VuG;KAjW&}jf7!L!evR>a>p771>U6Yn{^qm)SSvp-u^ zB7r*MWGs>3zwacNFUX(9ZUa7hL2s*{Q2~2MeD=p=g;`f%&0B0n?in-hTV-FWJEtzBv2%Z0k=5+`M^z zq2$@l&V6nm+feK&Y|OU5qFaW$=k`7to_0l)W;PPRF#*Y z&J!fd=yiWB2rZXOf?lByRzj|a$SoKM?2?Ec^^n(s*}39-&dT#i#Ytpll=72aFD;A> z%QOyaish5n3NEG6Hs%?g-~2pPQ!CZeR+d^Z zy$MOKPC)0%g?0oFkDnveRJ`j*B+Z7j1O^{WwM2_JKvGR(8;^LLgm%VbYC}b47!6sh zhB=CHKcT!h7*$fIA^*#SVF2C&a7bv04aM5SM7d0v6uR9wY%jIw2C z>Vnz2H<(DV4hDpAdBANwQo@Lyj{9s$X9hV9K8(XS78A_@W&kf-Q~|AJEQmyn43ABm z&-bH*I00Y#Ic`Bn%H-D#!Ml)4j>i2GpCk1$76XLy$RyTOiF17WjdzQM(jg9<>?8D zA~#NyK^2s0BlGnPyGH`+_h6o_T%cKD*2u4cYcSkX@4TZHA{CeSEa=`EU!`Fmv{?PS2fP=K`L$?~9nmYVJpVjRVb+Cz zw&So=OsYSBew`be;mvk_jec%J^VYj^n2`@sRo15D|y&%7c&vh%~td2SPk&^&({0s?d z*AmtJ`y#>5Iz@O1RODL2oY`ePvT(%nSw*MT(y_H;0M7eaXwfvr+J|JXQ|KkuQ6yu+ zEoDbx1+xUMstNmHdnL+Ic?^0XpTJ?}7ny+ZP2D^!p2g@-w~===SJFrd?lP+otg%>) z^*1BcHVeBRd2SdnE)8}qg_TLVuVE!EWKjZl=buwAhbqxcJa%yle5alT#wQm3%V3rR z_*qv&1D0f5hZc-X5xO_YbE-SiG8^XS)_g`S!xN?V0;w22D-f@Q2rSCj#@HYYb1~}- zKb^~@(2+qn!J{QavPwue@zwa*zO0UWVVT3=laNpV#^XH&1#?Ju@$s}A9};4LG{kBG zS0lcB5+Uonl`5G73&+ZZOYH>{yHV^wp_gXZ@s+UrNvwbmJDZD;;Dx`WF%o?$EcE4l zMs!fchO3zAA#Mm84;t-^TYu}g8J_bC(P~K0CoztgQVx)jew2u`GEuG5vR@`uQ6qPi zRZjjIV%EfENe`C`SIMX3C+TYE6TC02=&*}h#0$o!lK2Ji-oX(31QBFIWdSDaJqd?N z;GQEecl2*jC)A=qE|r0X_$`V?;9QW(TZ5YLm>uP7q{9loSn6?~}qTxuUW>6%ypXxzW_eMp;!M26q8>7iwjVPG-+Qn<7f`9-Q&Du97tv9UkMgwIA zI#Eq2^-O%h)dXr(4@|=Yx6xo?rh3}Ody2qQ#DQrzbzV4BjsgbD93;Nyq_ttoeQAXa!l)2e6W>zwa`8eTL+XM;ny-=YdOUdHAn{h^ zP2*O|%t1w0K-Wz}Codhojh|KtgFsXBu7``)ipPq%sHWpE0wok32ZBP;bnzAWISy?O zW**-(wiv{^pamV&O_Vi-wRS}9f|utc#3!Sv!#ATQI+({J3Gq-mih-v{ny6Y(I1ZJI z*K-a_@ty;p%m;>ZnFoGVztcdne@bb7o{o=tIHywB$c z_4>(QI;-S={M+ZnD|H^ldGU9Cgr4W&BewO3J>;PNxf^%>U>?8Ip_k*8I*;PKc;PyB zto_yBz_r747eaC$#i3hpSpuH)$)KW_P#5&JH&;)s9S48)7@046D4ZjqIN&0`>w)Xz zbUpH%&Sgbcj?7n9m*Ql67t^_&GQ02v+!`90nK|HgAhld4Okj1WE90=;49N!``s%jt z^<22`araurzaz;e^fTk#xin?JYo*4H`k0SdQxBa}Ye#V%a2 zP^84(<`84J;s#vNKUhU#{@H<%yQJKjTe!S(O_y}dU9ZT~=ty=()Ed((1v?#-O3Q>< z#Vk2FV*rg0)i4n>fKun++Q>YFr*eVXT`zZ$8kDWDcrUaPWeaPJ=D_ic)X?c^=H zHFRyQlMsk$mRV79lc9t=hV0A@OI~YcjLmRW^YGOn2E2C?9-fP|86}fImrZ?aminnn4 zm`o;&YU*&5CaeIBPyj&lvf@5s$f`*Ym)r*p&y8Hp6XlTjkO zY%?6N;K&R>C3USTR6$&g?XH_a2j&#Ys?KQ|ej5 zLv?R-T9WI;vu@!Q_GC}xr=EQ9^{3U=ZE?Z#*6w_L?>e(w=jF!T!0qb%tSp5-y|pDS zUlMXMjhtKcHy>U_)0PFKfAnmX%pj4u*MO^pSG@!XphN=o*~uM| z;O^bc-vVE=RJ@xj-)`|v?oTOsC-+a)zf!!Dn?0$2f{i|wCjwW`IIw4=-QS;W$?j+J zj_%R%yZ)QuD=+Jvl94>dKNa@()s}kV{_FCNuG+eJQwYuXuDY;zYBpyKZriM8f9Cbi zy#Ds?+q?3P?#tiZ-TmM0-*@lkp33i15#c%1+pPYUpD#b3>?XVNj_z#s@^?RXtrDyY zP;xWsaB~j`HbvyNyqFe>&#-Vmw}-W$22l;u)3s` zyI$<#YHCO=Ab+G`Rf#O!H`jUla*kk@U?t${P40Xr8nI8&)$3Av=Uv8&{@v3=3gW?A z=w0Y+cTTHYi~Vf!jj$D_>wiUKSrGs}UC`TGnvYFt{4{89rKfQAQQ~* z56s^9PAuw&BhpyJWsQWNzEBd+Nkq+L0HZC zlyl!-bx(0pz~M>MMKBjq(M1^j0MR@`on5gOo$_Upzu}$Si&V^p5`_cid>UjrL%Lyxvl?JdeY+Fah}{=NqOT4-S7lM8w3^Mei#Uwbmrgx`Og z{NW4oFdV#v@-Q5(G41FIyv8n#;i~6Z)PUE zr*T}y%X_&sKi&R`g{#SO?A(|cl~&bKJV#~nCuzhEmOJpcjg=HaEf%s|z4a`VmBUE9 zdkfgbiVQ-hXaUw;y-)n&>!9;_DvuR^hwm`nm6WS7f?0<3_|@2D%FZ8j9@kFWK&&X0 zfZKdwKG)$TX$`%Wm~~isftu7OLAwbnniD0J^LRE01w)vtyoKGKwXNp_3!h=q8&9$T z$|a#i&mQ27+PhGT>>PhEaNY%-%fV&1q5Ju#kP>g?7YNn_@;)e;b=hgz<<#j(aPwoI zyLdKuBNfon`;gb3aNYoIf(6m7vE2*){D7f(3@@f0p38X3BC zrPyzFY*CiTAb)(k3x)iwaXG`qg{UwIv#$=HH`hX)Vxcg zRjp+YVB&_7G9Gg!V+DCEV}Wo8Asr&sSo7g>JT^$54~_6M{4svSS`SUlX%zFAC3Fo^O*7d( zImaik_;A8elx&SLR231Lp97kj=u)d(wv9))!6-X07H?kX@{B?>Rl$-vFU~tnI6x%Af_Fuy~#g_yw zQgfxs6WK{)EZn62DZAhqzY$ZZ8s_70LItIVI-I0+Fwq%DM%M+06B!cnzXa^&mJU-6 zu2O8Rha_PrnswRLfeuslgp-fu<7|>uheq-Fx~gM6Oye?}nvlKeqHM||`BV)=-4uk^ z&DBIx5X;t5$Y_(MrkB+ARIFcCnQCA zP+P04Q(k7%o*cnd+WtWbmUH0_CQ<^Jc!_C0?mN`?Ifqy^9t1h_rWhUb_ADuWxb0@=LS3fZ%`gH=q3UbFV)4 z>QjIAV~(CLO;*11*xtT-x0OKcsN36U^=Rvjtu6M6pKbl?t$!^%<{;k&$WGV9EA`Y< zKlWqu81ax+BzWqntvBwVYOo_P{qh!ovk- z-~$G1K%ar@i*j+o8Pkw7r51ca;VHIaaGxcD9h z3Do`VlM+Cuc9$if1;E8i@SXer(WP)ZwTlFbUbst*KG>bDOCWjQ`qV3*5(ySNefV!M z9?a@v7ypenzaj2Al&|~v1s)!Yz>@F&yZ#*bFMQ#PUwr%R+dEFX4?g(8ch$SDjjT(s zdqfu&*u9JdGesFskwES3?+HU@D}y9K0<^oUKKQ|#>gFt0+Wjf6{{A30GJcHr+H#-g zFHmC7a6n8n4q!qx?xMlAPjH__(mKr&=*fTQ{IkmJO|ICjFsU`vM|`dEx5 z7cL<3n>)6~aTm`tr2~zp`zhvEmRXSsddr3DxtRcyqeYnmNv?z3>j%F~3EjlVUF8H9 zCORmz$Ik;Sk%kG1v+gBUfQBO6o9>7=H-Yh7T^>@6lqK_Qv@z1c1hmX=N>dr8hy;h| zwPb=A<`YfkIa~VzG$g-%CrwE8>zPPLqwQZPx>(1^C&ArmUr{#g{Z zjh2TbntZiuH&vgLiiATXlUtc&Ngqi2wMb?%qwopdor#2-#VHXbwNyeY{fE86DUTsl zO^$}CW+^+xOB$KbacVU4yQD)z66FN#h#Zdi zfLNxdLZ2v&(E=T%bwEh?`?8C!oFR$CgSe1tS0QB5cG+0m4z-SvIW!`GMC6lrs4DV{ zMM=tpStOQb-LJILLsjabmNGdFF!xS1M<{C9V~-uwS44| zu^B_f2~)yY07jX~ib*dshIYeqg<&o=M?uos4iBdyU3mj6IL)DY7z}~ zK_(pKG|Kg%i4RpChT|NIH4JH_2NW*C3K|!QDaB60OtP*8TaxxoPbK9zwlOM0W>Toc z?G|@1;qgjM8jtnD%PSl9B_jOHP@a>2v)mPZZ_uORz;lASn)l==mml3 zGH58|2(QotN@M&&5`;l~RMe15P^lu2 zGbp9<9Kao}+~Bh;IfTsBhS4gTWCKP?M3_K5MzU~fDkO|`f>aX|BQkLnk7}~G9#)X1 zMIA#CL`_EJ8fBs;WJ8!ZWgl*(6^|h5%!Cz(S5FeiEh87DV842XPPw5U9wD34^K1NJRG1F4>zBj75qHXR=rIO?7|AD$fQYz&&b3LK$e za3B>3RdC<|?+m4dWpJ}t?j%f9Ul&S8So22p;p@Q&i$b%FJ>#Q3@u+|-v4#)sj^f*kHT*WMa7O|;=Y{Sd+M!P zJ^dfb9=p%o=LPBej@QoYt(K?H{WJ;aVGo4Qx&-rCJqJAbGo+jZZ~nZx|7P)oBv_W9 zuAf$&1gevOQ_2@0!Z;IaHhb_2D)TVg{`kjd4{meA6WHB-s& z*49kjx+VB~_x{Ddh-ww>Rrh&9L%v9mBqD*@#p2ygw!BUJrD9>_sh)vyEylyuF zL%iCP^S&0gwevY!Ih;JEwtiLi`g_cuzr82B5O5A2Pp!hw&++U5cw7BrI^>6zNud27d~SX{;C-rL>V zD8aT%u`I#vE`3EL5Nmu%0?*G&Abw2fSH$g}3t(`&9KP|5TW<<}9cK5t-s58yWqVN1 zjva1)Z;u1W0N-1EKVQ&!T`Wm(>r<{5ch3bl!_PehI86d|ssyT*Ap~tY3FJiXvm}ta zBLlA!IaXT9twf%(W4|Pt1|#vt;gt-k;ujLdEt^puhdaxd2+c4rGXRBe48NLt1Gnj@ z0F#4}c+J5o;xqh>;frOiiCzL2()@?eoCG%{1cK*QEiU$hyJ@SKumz!2sKo6?eGxx# zyu8SAv(=76XKhBVC@#tTk|C+zD^QB*?;qHHGD8uG5nNXk&vHkV>71y}2FwB-1I<;E zxm?ASoWycOL@AuR4?A~$X$9(H(u_$O^OzypEiK%wh%jVs&w)W4G$e)`1?SJrrwGZN zDbu;?X{IU#cR}J65_*o@Jy|&^#q!KVR(=xg|Jm!EKnhEoyxK69Q zVilAedzJ8Y#b7ez{9NUs0TV2Pz9T6$v!;H}YZ(*0$bxse+Bjy8E*h2UVh&?_H1{AqjF^6-w*!@g1L%f%m1ELq&OcRkFSUaz%+ib{n+XT| z&|=)o)Xk2DO3KgzJVdUP%;aYS%fg0rS;tu5K&b*1Ytc%Nzce#xXoxUVnk4edK$CBsjMFLn7yIzejW3y z0gDi(?h;#|M(kcoAtMY!7xv@c7 zgr)*&S11ag%bXcyHAEX`5N24mBpeq~EY%wpmFpv%pe<1IlTwq38dImJR?*WvCah(y zES+^as*`-g0|DB&9p<#0=|MUeaRRo@tA3u3YB2#>H;b{N$C}Y~N_(j@7DszFN$EFa zLzn0|A+U0!hGkwphZH5!ZbS4zt%yjNrLwF_sW9i2(S1`I25M7kMh#-)co?!oU8M5F zvo_Mb^H9dMxQvGMLKvDP!B8vXiS|`2`56Uyn7{!D+*K|JM4%RLEhmE!B47?FYaIlG zhW7&qH`TZfKyhG{Ktq>2Y#e3QYigl5sIk$|p}`+Ca7qS2fWJSX9@gR&P)HF0(K3U8 zviJ+j!CF=o#U#&~6qClL#kd*R-a#Z;rXamaS(=QpK^hf_)jeDr4GO3&CynJrV^Mh( znE`jG)Mn|(unfK$-}Vghl%DLAfM3eh6pB-#3dN~foV>-cWAGiMgS45bW@4*iq=~0n zMf4&yJ}8RpkQ+^zh0RbXNk0`1^wA_&c39|aqOsjm7%a!r2m{HmcDh8b{Z_SfiGbx& ziNvK}U`&ZVO%L@p16EK&S*LZ0p&7D#8fdiNsI2K9%2{NluQ^jJ^CYow$Z0h7KsPL| z4~UO^JgFu77DBlJ&Y=;XA>V-;>QtjsF$rmE{OG=c!Ic(@o?@rMx{fR1&`1mv&ebFs zyZE5#5nrvvLu&asC_0aG{YhY!LJeFM6;00$l;(CLQjFOek1p#V;^=9SAZfJKv?|UT zBQ$PL3X?{YB0KIR?BZ!{^LXnkAhUjiEC>TM09sSjuu5K(2Qj&Gs#_6FFs_ND;Egrh zACZMeaYY6N?*rITzn{`xc!UEHtq2bC{Gyn|k*xdM5f*v_)}l8~5R-VKjh8@$zC+~H zgn-n19WggDQ%{pkFoGa6USZWpp%__efMPH=M*7V4-F;&s4O@b!}>HBTzUF zlQ0-g6%PP(9WvCKqO1)%${Kwj@#H1&tamVP{fS_0aB)&t*`5^Ext^el7h8IK=2>Fr z#drDtV7lj>oGE#rsFhAN-HgT!7zW!S89Bf15t4YCy^*Vl zxzgzQD~a)O6+;#GO75o2>0O*$>1yy|0^JK@$HB#DumKmH6U(@z7?EOe0PU`R-O8sZ z97Zm&S|>-K_QO~)nTFJ-1NNerAzv4Mh|v&4IN=c>8MZ`?3S52q|~bw&M2>U zZAcZDij01gJI*34fiXyutvY6MJSntVZe6*i1B*;QU2raaP>`axlEF6*)mZqQD9m@T znyfJ4m=;|*Fa2cgG@41jLmhhNy|`C8O$1~hnfdZ0U=i?)f|a7Dj#gUMMPkqc)UaX{ zxKSUgrYnZid&hlTv5UN*^R~)efn`_lSFw57Tm>uJ`eT2B)x}cTY2_E4%LDa4Ef|MB zDQVeAV$iomJ3OffahD2=fJSr^sR8<)thVBDfq+abw0h~sR0gBl8N>3}1Fnn3VK{83 z^cvB;3v?w*EEGQXBknfR6RJE%F_|$Jz{8>+5)W>KP6bVS#oknFCBZ|)*UWrn^wlW5 zoaupr(o}c4MjZ?#SAs7zFif(wuRV=d6vdX|qzqD(^+H+p)?7R;CB-*?AVodlV4ha8 z7F>{p>U@M_%7M2JF8CVp1y+bIAqMY3m!0{&!|yqVB1mO5?uI;gxrL|c^>v^mda$im z=XM&4Xi3u5(7LcV^%-iBY?~D=YcW+r2z8yqa0tXGA>o^rC$2j#%7V}4EN9EUt7P9! z!g3alPfiMV(w9_eF~Nq<-MmB7)|E%u0)EM;kTol3bQG7UYo{gGbu({E>>#>Q zsN+{$6Ya6GL>O2cS)F^@oX@IbI<79xkC`+in~kg|l?qium@f&D!^rnYBaM?%ICR~t z^YeOH(W49?%6ZQO8l@bj^PI_+(?+H*FzqzQfkvt~HN@hW`qOsFi?$0Y&0^hfYb9rS zMf(?K?r8_aDC1yTRw5rV33k>Nr}!hhf*vootzqi&df~i z$qkyFrcsT)rW4HU&zkII)@|yfmsA5*7?VkD1q{WNFL$mJTwO+ekK94XM908ve1_<} zr_5TFg~4hOUGy<_TqTjV>|7)pa@R307uNrDGnAIum_x;TYJ9LX;xle$>7x8 zQE-qvS8;S50!$TsSj>T0AP7uErN}%^4DmDunr0wRlRS9pLibkDEz=%#q;j5P^H4Y} z5k|loQ#a2r%Z_arL$?c;wVm3UW_8Ro#DG-x$5P}LvGP!wEQ141OcdP=nrwbVI15dn z?yxzbXB4D8iC8JI%rb6L#0hle>Fi7TlxwR;#-vwD2tq5ul!*L9E(jTEm0U#vczYzs z*L37Wn2zPzM#&mDJ(!>(Z~D5)>td<4J!x|pX8|-Nh<$3tLPKyxgh1Iu)p&?8=2q5$ z;#H;@yiuIP(rOmTgo>!CV6Q5n3FMZdJEqXMJLtYiM_=QA1xcOMG7*U5Rvop3q|z(Y z=~Ty(G#B%A)Y9R_WW{ZG9j$7p9z=6fjXE!|Pl>819P*b@Y6*k^?0MztlVz>St69P_ zpM@gkxe^5!z)*`QN}s|~UiGEyVWb9?Brdn=!Ri#%SXP}#mF^g0n!;O!nP<>|8V9n{ z<4Tr#fi9zBDdI+yV$_vNQk%MW52tpQyO4~Y-1-Oh!-NF89Gh^GSi8S8(rs3d$w+he z;<>MWHLbFI$jUZNe%Q<({(}d`$7#gM9gmTgFli=2b72WTa&6tSyC41N*=O8mME~kn zp8rZpC-HyfE6+bKyfjb(w#M$euE8hV)fy=EKR(c!-0XcHcZ`2Y9O7wB?$sI) zf%rPaZ=moVjFRMDrh%A6+iKwMzW5>;9W-#8HM)~$zm)wK{p9yHZrPU&cOKT}{-pcm zA3W!BpSj_#OZrGD?<7u}!Bh-Z^?s2V%+c*wj-FzqdBz_MiC?pXYWuI3j{xQyhj~QS zwceI|>7QoQSZizf=Vb2f+nTu(Bnk2*&cvY^%U}4$FMQ+C_19m&`Hh>*SdJ@UM+u`l zYM?Lmp}{bZc$o%D!;*hm4cyINz4@z;u9qb5K8!Hu(P*WY-g zRyLMF%JZnXv)N1WYc@~r*jlz6x_ zof>v7X)tQIufg1T$D^RMl)2&eJl^_=Cs@;ecM%($CsS~1_&VpAYzy<{T=asOL*2|h zKb{R1mo7D-LO5bUrz0S`Qjruvfh_t@_+&X0F5-)D>;un2=sv59K)l*QQ7nZ{3F7E6 zcT7dPhyvqk%OEKUCajPvI;Cux&cP;`abV!pDm~3Glw3WNU$99wSWu_vio#3D4QBM1 zV8!Ez_A^R9(zh;RGjZtIER{5W#LpvGrYOBe?8IVP5;ahqMm@slX`GVPs@U{{G(gLY zq&k}b!m%@*57JTgUjp2qPK@y=<)Uzf`oRSjsbck25xhRm3IJL z*Vbd^pvDOu+-0#YJV~*Z+b!wOs5e9l@JqUO%jy^|MJn_)QYMjPgf98D40N zXbV(g?16r?S7#?u->0cVJ&&a)?AzFruBO0Ra=s+_H9~+wg)HkdBOp@{`$UUM=}QU& z6m7^n1s8gyP;zLEnu$h=n(bXQ+h8JTa(cG4(Te)j!NSR@8bLZ$x5* z6)Z&~rCd=-6|GK}wb6r_@^4VIN&eVGIziD#4a%Q_YrIzOc3=g)^5SAap;#Dkg(_)I z-WdEcoY`QF$B%ug4CLxHFWeFK8*x!XbLD7M_!Uj3sa-XjPUoF$J|rk(QW+bBJ~dvI zVcE{9E|gr=t9rSF6g;OWGQvoK^B5M6OPaWWB4%Kv!ANgB*nLN_kT!&Aca>PuP+`^r7j97RG`eENxTpJRy0KaG{x$LlAV9R2< z(#vPLa8c^kquj8C6W!jN(HTZrW?1T{IjT71z)2&g=qp!J=epz;%Oii9FXjO|zI1ix zxVDb_VnPa~#gb9Wj26EUD%pOS^QTCp7-(|L9?#><=W*#!Q5}0%oi0fID4$19F@VW^ z$5q6;jG2_om;GXm-Cty<3-X^;%rqmGijFi>Qi>c*2XRh*^Ein&=zQ=R5J9V&3uw{Q zA%=W43$+U?l^?|)+OS{{VvV9RKVL4yzv9Yjxv8i^%Q@p#IWzy<4qKUcH4W7h&mAg_ ztj{K_BX+1ao%hyOTo3`sG7d6NS05h<(s(Elc>q<2zy@H7(ZN#T9e&bFe zi#r%46lRe)3MCG|-Q-czpPa&|7{WW}(KLZ_$pVTg)Blrvo$1D+s?-HJK=PatvdeV9 zQjrvN=2g$ign(GXY4oT%45w-7JhYIBkx=GvO5wHY!)dtk-aU|Tnv3@m_eI6s#V=mk zhRru}jBf25@7zjqib>e`>TAixQrbw6&8JTK=;F0L`(S_ISD#weidih84Rm}XT*SEU z>~m+|`qsBLmZy5?W3OJ(WCJ7{$gBY*j4s4M-iXP^_kYk;kseQ7q>%(K|Lm))~^j};5}**%+ic)!)&bHy@d zE${4$neKw4t!yr8L+ zKlnm&c`5b@8rhTEgT!$*Skt2|ow8xAZ;-ItTF%Zsc)j}^XSJ2LpuvYUz_BTVx1zxZuSf_mx}bME)v{KicWfL>r$fJqRLJD-HW zbCrjs*;71^D-ftRsVxPiVG=>oG0f>q%tHqE26#s7K)d7L_sT|Lj)^B)(r~1v_!(3t zCYetX-V*R5$E9wvY?mmMGT)#Zz1>oizG*eEDu%M+37>@0qgyt-HwW9OWgt^Yo0{mW z>8jBClZpdH9bD%LZ9x8<$cF3jV;!sHST`4NpzQ$t)`%s>W1n2d%=50-VG;ERp3aKq z6i%h$qxi6x2l)>rdM$(Ae3vqD=i;m}mri|!Qvgz^8Lj_QJNmeOimQRA!7)j+Qk zVj~vb(Kl+a)MOxati_!(;d@vdF)@?-=orwU5aP+R#IluQ(DGJbQ6~G`mAd_-9`8$b#>(9%9AWp zuA2EKxuq=(Mg#x>6~^mg>HS3A@K$97C-bos%5+i8o7`7LK_M3ESkGC%tyo?strwXu z^RD96TZ&u~^Q!CToeH|IIaguggJThbssw0s0)ir&&r!EVLZo*TIOX2bIiB-A!qOvR zHlOu~CI+!rIm%UC?Q8B*Okf^+*98{Aa=onQdCNPmtPauTRKQ3Fmi9Q)ELhK6m{LW0 zUaKq;5KJ&-2D-${-G^N4LmYymOOWi6sLGFW(iC?SpEEqm^xx1>3z2vr3Ee*N*#Rv(73d(TI*wZ~{Br zyk=+g6%rl~zGhreEWG{5Qpia?ndX5Y@vBAcBbhH2GI8USEuws^59=~8wBn*T=5Y9e z`vYM=ixv0rNnKAQFs`AOb%}&0!K9+9xW6#M;wH1>b>)dZVepKKi@^bK$X4Q6h~LAZ zqAj9sHLfI~mjo6SXJDTI(ak1Q90_v? zmocmrkJ|&)(lEFN;G0)G6S*;#lMrcOylHA2q3I?O`i!?p#932*(bb|;hv3^I!;5w$ zuoAi`XN%>mjy!QEH4mS_qoX6z@o~m5b;kw8JHzXh=cby$#BOPA;SRf5 zz9`u8ffxC-+yRcpII@b{@RC8rlclP@VwnXOZPr4cgof}FfVI28U$Qm4xLT2`@QVZf zMGWACxrTf1GL9!pkiAM3F4jm3s2JEi5yo-2+nh}^3DfOm&+Fw zR}VLkrseu0tYsWLCo8!0D_r31l)w$mJBL33ZqXaP0yjTiFmc0pH`hw9OA~6rO+eRO z!B4kCkH6zA$v}GdJzL|A8HR}`ty%;ibI#-F5JZkyUUbgY__#&%<`|!Ro+EmOkNMRu z1p1ox^wW-kQ8fDn&bpN`Qr-I=&jzxM?@~|X;hzX`LznN!Lh_+7GCF!PO6nYB=x6j@ ze2LcWfZ+M&^(e_5_fdRGZkkdIV&PWf!5a*Uz*i9FC>fj6-FIGi)+Q6Q5VR>t7*O`y z%;t@^P_Q#GDVvU*siKN#RWex@N6Zyy`H*jYl-4=`imgW?I`eap{KjdKRk%~8yiT*~ zbjH{$?-{tldmX*%`?w%>Ms$IE^qV5nglsYhHm*y~g=?pJunbQSpHT>OFzPP)m`8Gb z=sdBDwr=Q;WGp!CX;sAH9-kHHRj{=mGm}g1%k)F$`812XZnMn%ihO&ooG8BIAw^H4 z2rf{(Exwk16#&VBbRDI^6V>HMbS5Ka8|-51xE=~BR$QqiOI59aR9Fx=3gWv$?Y*F+ zIu;i$*((r&#q4-N(M6<9Q5(CZh>D>yl^ql)25#)shbtz2>ee2gCoYVy znR1?@O4ZELOUJ~6^HEWK>?17>5hNmQHOWcRIeH4C?6LNd_tZ#0b~HU5;j4o8=uRsP z1uzr63&W@dS7k)RBAXP*R_wf@*m0*P%fltRgS2(O=&ByhqMO%&T)0zTdF{hOOmYSCAh&w5KPd#=8DtS^tNaGqZ zg>2cGN*ejlToFApqm)Cb%hU6d%?D&0A$LAZkUYYI8uTpbr9}8epIb}N5XA^4*F{=K z+VYB$AX^ShO{wT^5v<(|{9LeHXKv*pA}k?q7Y=$5i9+B!5BRc%J#DwifCj62Ske%v z&TP4?OeQlW6a(}WqUJY&Ep9_JzJWO#BT?OwPD$9zm^C#=D>+Khj8@Vjdp3t5V@a(w z@8W9ZDYy3pZ+Joh$g-Dog1S8wU^%&G=|P#$68zl5zyGA=IvAsFSj0seHH0Xf$>4G66$(R+YK|xMN$Hc3j-;R7$r-D z0qUyK(iZXXcVwOyJGI({wx9+%_h1KL`c5(=egJ6$4EfpMjoxP+?A{nuE!+$;IgA2P zMxDF{)U$&?@h=(8#p>~%c)FZq(c|nxLR>%(Oe21D@7+s;E8QX9RdlyIr)IoxzLY#E zyF&uIeDyOhz*k>8d+?R7uwIhM0?k`!^j!n-XJ;R|b?24|Ie&tD<4Zh3zDZR*I!}DM z@HktQxDVKomHll9>}ufLM{eG^d6~Q$==pXvklbOy;j$$D$Z_xe=6fm6RQ{S#)1kWf zYya%8fBSM}q`k`P!yIoVC#r+pxt8}n^Io+H!|iHN{8!KY-ODx5I_eL9_^oR&rZ!5S zzs!o)=UDH$JDX>_)_%X?9kYL;)2K`L+`QLH+Uw6TJJ?LJ>n`@(k=!+&w>Hc7toHaB zXZvu~edvhGjy=Y=*#l^pPqgsu8*IIM4w$X8;ypO{^ruJCJKxC?Pd0Z__~6>X8``55 zHs+GKkZvE`SB!C9aGZM^oayQGTu*}ed^Zal~*2|eeG*ZtloV6b@m3P zU5+!I29sC~;C1Rg%Yd7d}i zq$AIKeuSJx&tyWX=PFF=ilTMB^HocirkeCnmiFLE{C>VJD~sphE=-0q$&x5R-pdii zNAV;WT|xzZ@!{RViTV{q;9Lzzb#V&T=ahuQ<{?L5RfK}hHeJHv24p~hjDcQkCAY#G(DirDC%?{q`0?(ZI*=jJFcwrM zyU^0d;Sj1D9`q6=@MdHb^c7UfI)M?;5tGUoBGAkWIU*xSj^&2p_0BJevl0)oWJD8H zfdTMq?l2If&!E~jP^V+o%RBQrtCJ_3q6Z9{0!x0@sVPNIvK)e#(#U8_V$@M*?2S-Q zNhaqtrwA@$XNW?xGm#8r5U9ED>4jXTbf!VP;>e4}xxmDyt${784$c!g&2xsAYo$e2 z*1^X%6p=LP9fOL_H$|uROx6e&GnE{D$cVj#OD*9me$T9Di^WfI_}TkabK9^#uJ zsN>gis80CR9aB6NYpgZjhRnQ!H-l5XdgVZcWJU$Emxv+-<#Gvk9DaCJcw+Snk8Uj< zsXPFbtcE@(J#Q(CSF+I!tPYJIIHxN?pnRovAm2VjNYPNITx?CD-02ex^SV!rB38+( zPQIiK5L;CjwE@%|N*-8Enm}n3n3RfkAZAW?G>k{d2hSDG$17 zDK(D;5SFST?Eg|R$d)f`!K5-+a834bDnV-8gW@_uElrzpwmcLbIW-|LNw{bUl*jeXkyU&3g`yB zqGvt1(16;7%nVFBPQX8jlnjTfW67MdwWg#sI3SX%h+C3FxmQ4*@N$^kizyVx2CGpb z3WV{`L`Y`^bOb~<(gYQ9Ee25TV1~)LZeGDa7c&7O4cp9Q79Fa`z z*{#fL3Vi16Dg`OX(>aI7#My%m>P65(vSF?czZo(pmn&Cw-Uf8vZvnuIom*XaD zx~~bwtd8Z8=~Cp`(;0QQoD;^Ajm`~Sj%;AsbJqLVzAG{`*hi)a=W*E3O%3VZ@a!jS zFuEcn#NdqK0ljsIapxI=V@%w8L->wyT_st>FMt2v`blGY-08>9e*AIdecC4<-ncQD zJlID*CiG8#_^q#v8mUe2HZ)>bM{Cz`E!B z+|Ox+@#T4B=8L zU&VQN|7Q>W&bf$w41fDu-~QIVXQ&V{o&O5Z#@ouIYpOl68}w zL(FP~2CJfhA$S5Y{Z>J}F(!(QKB{VgbjqSrkG_CiFiDK^ZhDPTA%nPcrX$N6W%m7* zL>$lCM0`R;9I3N~V(@CPW9iAHmw5;lzZ|;A16cl?C)7KN(I=(mbfTNk#H=g3Lr)Ry zbYL*aXxEg33ffcER4I-kbH>r*yH5cjlDZWhb@*B3*pYrtAQM%fT|%o&<#Fhv1R|kY z1Bq%FIF!Vo|3zeTHBjgd2=7r2ks&A63hVusg zqJygMig@t`pVh6c<7{C-QHYmG&)~rI%hGCv?!@)efYp0W3IS`al z6_H|?{gn&b>jb(%^8#f8ebbb`6IpUd*H5}mQzIAm0A6mYUA>AL95Jix)I~}~xh2<- z$Mn&XsctZy51qKYOHsvVkU@780ZY9E(Z$@WdN%o08Kt}{52+j?h*=eK6{J_hvah^x zb;?=ZqY#dxSq41vvWgCY2Z1~i&QOKSn{su zB6vZxb2^Q+MyR!-T5fVIhQc#;gh9^?W}b|JHpP={mC%yOb9K&jB@9K4e`!owr=a^y za4ppyqAQlv*^~t^4S6qc86cv}*OV*GT+zurt%FtH1=Z6r^NuwRz9ml`C@wstNyR7O#i zh|0`CT1FQhdHhhz+g+gW09gX5Y#gG=kGlvQ6ODOgc$N6*>k^`;kXUch_arI3c0dfP9!2JiAa1}%i6=MF54eCEYgYw^!sMZ6-TCe0a{rI%4^^{>j@-a=&Hx3 zw4LkhTsUlProLTvijOh|(8(ZYd=6YsfOo3Rr9ONULRjU2C7Muxpftpr_jCbdYcm`Rg`}1lpN#5*^Bn!at-&^yCy-F?wGw8IZ0B7t^4!k6 zUZPuTA99CEITHJU-X}WK)r?@J0MQqo1i6b*Y>|RQnmmE9RSS1&AC0C-^?H2j1TpdB zGRcC;)j3jUcF7uzSV)O9D1oXVCRZ=bR-y`OeiN2bH;9VCP{XtBaJmX>nog*5#F9H4 z0emU4t13py2heJ{5-KsPw^IgJq=-vZI`v3Ju03t0$Si&$rgNXqt7G=s-ND^s23$d0gG=1B zf9^ncaydr6t#5mlOW*P!pu&R%;>_{%i7)jRiNXdw5)54F+OdAND&nP=|mOQ-|x?T*kzgYh%)K96e{`Levk%Ozo0fbdXG3_L)i0*)ZdD2$Jor0*RqlEC zS*-knFC9=$3G^u2Twc@kFK8L3~<_W^D^v)C8FE9nY{90#S;o7e_cE_=z z)h^r6()(L_(t%SC&lnVuu%{&#^15he^-S^kB8#r@c@i|7;~HMCn9#)7&a8i#;znXP zBDBu?2uJ5)MsA7%A5ZjIk$OsQ0Pj-IcIf^XmS>uQqC<8?)@9zs!sGX(=)g1k66E7i znw;TWkQh|^wS&9E;EC&<&O5&yI_n0MIt(V)SPSOm*glu;QamCXJh|y{Vdl1ZR0nFB zJDBPJtvtY5Iv0q)(#OtQVP52~2c4Vo3M zh1L}QghV2v2US-|SUc|+T!4$jc%62N{h%I~iU)&g$Jiaz^fS*}b*oZjqH)d!3gICw zT#PgZY&EH?75>F3Ex>1c|^tqC~CI80<6N(PmF0hglIt zbP-9ZU?hUr0+j-Bm-2PgxLbIzZOLNam`SZ+Wxa|R7)HxSBpBXbaE0f?6_GMkSf@%( zho|$al6#ED^dxByW+;(~m_ezQqdQ8w#)u3=or<~SPLI)S0PEme#Yy+7i0+Wl9IsLj zAgF!rKC9SjG-d`uFbzehrd8mVO1u$oPN5tTmj!s zhK(VeQpC&xE#=OT4PS_&hfiE0*PJQBK=j?hm;&?nzUY(LK@B|yhSsGs2-wh!U;!k&G zTto(Q2~r|xFs~B37>tGHhd)H|R(%-{g->hyjh>1@MWLo*$D#;)ffTu(a53O(rOJnm z_3abS!}TcQG>o9E8#sJ)F=ib;$9~UpC>FV`X00=^^fIf-cz=o`M6U5}v%NDyBN$W0 zt;=Pky`>0WWSHTkxSD2xCriJoyF+BGPdbvdl#-N9ERqaF>@AbDf|Wj~yUN$d)xAk? zmQD+e&>&@m^qf$PfK=r`jw)K}puj1^S! zQ-fJ62t%xSze{U>fVoaln~ck~i_XHTXNM?i9qdIIo^!5q1;o@gcDU9}MH;?DvUVk> z{F@W*R0^MpEelp~=GCdseCC~1L$+cw@ZLh!JiwZGrLzl^y8$;Z+%YsDS*XT7dR>rY zo)ie^>yo>hr}){CA}nP=-@7O77v%+-!F@*f<{IHd2W|Ahtp_$@j1qP@BnTkJOM^Rx z_uQ1Cq4rML34QO}-n`=j!5-IB2Ocs9?+(84>lg*NzxuI<53wr`9`!6XX8AApi?FMK z3??1tG}zvYE=IqAk@b3T^SaCuXY>}RiCVvz30+4nx= zTcmHie#4H*Ef)0>{+}0RDfjDN*q=U@29{w&C{@|anql76Kqk-zOy>yL&x`SPzm`%L*9%@xZR z+Zn)izO_52E(#KbhtqYFd@>s(-Y|*(*JNs8zRoE3-S0l*JFolWFp|54GuBV1`r&qX z;cDOV$=7`BSJN(2J7|5Y_G@3;n&5xHpu)k&*~oc-cfXr*-RzG%V)xxqgMI8}p-VMD zJZrl*RjJ#_t_G5G>(<4wmaTlshsJT6uj8gxvZY+~T{aGICaeBzyQ<;GfcqbR_*=WN zZ{B(WbjE2E@Sln8@ZM7Du&Sqfcp_FJ5a zv>lG%4)yJZVusvcWzc%Ph{q-quPsl@#!{`L1wwweO)h3`!3zjW- zvNYr_@oQ$4aFZ~VM~#;X%@&ko;XBwnJH?C8{P2Qk1L8tg$jr9w8^Q5cle-o z93#NTUK~L|EvNVVa^{lljtg#Ob#;hOMW)(J(8VqWW>0N=~rHxXELH2*@Lr$`Z4^*v^oOtaLHqnheBj_f* zRv*Mp{sbNegGwE8*CE4NQJF>Aq&=zTMPFqB#VH%I$`_`X5hqTAq5^54JBdNqhO~vx zaa32ngVZ||UNFW%T$NJdOJ|v|OSC&e0fe@Z?rqYC-GA{#Pb%JVE)as6WTWKZjqIfY zF1PdMgbV|AKc?+@O2Q)*tXHi9t&v^eXN6=4YUy-YEvLt);^E6&HZp~Of}s=hT5)=G zM|BFeDN@qgs)QVUMcYyGm1KycBgI^?umOi;bL9gG%&|d6Jb4*l9puUVx)UWr(^
  • c6J;VMZ}I{ zQIW;5c&>n}kVUK)!I!CUJ-DEw=c~!on&c17Vcq7r&c z-c2dOTfyexV7DuLthpkdZ3Y6yKq`zaM!ss52myb$V6&KYx7IDW=3o=`C7;deA-TEO z>>eECVmf1a_l%V_ft3>}D`Me`d7Se+V+uJB5RjxZx)DtfH)k~f+HkaDGc3kks0)4M zS1;_-^yM6NEHuKcoadqAqo-ihEMjyDs47$q{6P~20?2u+z=^psoKCq;K_?(ultmny zkKKFMd|Qp#D$mf_bh;s>2zJgmn;2Y;pt!hgQN}RRySVZtw<87-rbjLh;Lk&^EV|5d z+QK?NnJwmhmQexh%`QTEYK*B0WoUCL?w-=65+rL6`f%#B)%Uv7BQ*^ojtuvb2dCz@ zv60<~$8E%dCH%}7r&Tb)*1F!H?zuZJS_I6cI?=^_&!TMkM+t+V(HV`^6#o44-~8s; z4ZhyE}b|G?+}J0i_F!bMEJ(3}MsY651f~?njaafAhiL z?Dx5bcf*s-o$>bhwJ$OgKCWx0C#CnGrh_g1N%wMi#_5fCX2SbtB?-#=nVRV+8x1Hj z!0vU$Zf3TTcuNu)x3#(SPgd9dqO5u(r1#k+O;XH@=d9kx@u4X` zTlJ84?k|oV-MC_f{l0`Tq`CA4kefz_M#|&e8w~s~>Lm@fwXlhD`5ONXdC#M{^yXvI z;M%np+$)T zCuDKF(kak6AVsv!MXv`rKPVPe&waWQ4%1)1K`@Uh`X`RIG0(gnfpnr05E@{_jA6NE zn(ZLsj$hssM`{6n3BP`F>HT1^FjMbM56Iep7bDDMZ(1Xe>QM)6qYi-}t1wF{lT#wy5( z97t@C>q(=FR>7hMi#?_ZpVB`Z%Y}Xp=`vH%ITz8k8hU%MX^TsO9&b14b>#>HI4*1;1L3Beiw zQlE5=aj8NU`x@$$>S2naO_uth*SJDqN_KR2n%KkJ)IHc9-kup3!mRa{HnLGk2w)_G zRAkc!UO#0j9|HuZDVHSFb2O9Q!{KF8HDpYK02GyH96_&!7on(?4=hjmY_tZL6KE>8 zL{v2*2m#A6s6MVGo;h>LSyrry){~m>h_4VF`JfMeKR;no%FC~{nLtNNoENRULE{t+ z?_8&Ny>OK}eKJ6rvT+^pj-t>Wzs5hN+NMni%0qg1>~?l>qZQ3_JV}t~8qexdp7S(c z12Vc$q;5$le(^MzRxn74DAn>WG zd4)E0L5Xl^epEn#_S|B)2hb+KlV+=NE+tJ{vw=UP0}%u~^7b6T%xHWwv(1wnvPVgC zZWg_97Oa3J|MP;)_9_*9$3c<#9?`xgo3-w!UBGU6ZRhrQ1(-(u)pAWhPGp z%On~@aGG-j4z>EsGIY9L)lSt%u9h7QPHSp2S>r2SJZettrbUdgB9#x*AjX)x7#+9l z21k%IWKlML6@>scPW+T`urnv2diYCxi*>BRnr0b8EX7$9rb!fQ*T!Qv@nXLSYEBa# zdQtB;u#t47B8?d`q0=>Y=nlIs z_dIihI}A{=Hp{xqBfR393$6i2I-clA&6Q@B5s(`w#nlOqDK4UX*}>Bq!NDH%4!gKu z&pQzFPAXunbM*YAl+MSinpfltEX}-I7KbW|(?(GbISPgqv%^zTRwST|x=mYTx&I^mOc_D_RxV}WFLVhxF9v>+Q z8nPP6TDj;^*Vlnt0QEkJ3r+mb*z&rkji`BhjtkJEX-D~BsplNJ$TV={+}dZm%oGq0 zCW)Z}Cv4&g$&f`u^ps~I7=fjdst{4!0-QAQs^4~xE z%x5h8Pk;X#a=*McZ~kw(cL2g)3I$RI6<&DdTi@RBoYO#afXx?v-@fA`i*n!_=1xZq z*Z}l_D5}g|_{?K8`1(7v_MR7&Z?LS`eaI{!U9PDBMypycGPCiQ*|U=To$r6c?4Yx= zpAQOSp#5cWeELW4zi@-shsQZ}(JcIX&dYxpXns-}Xr(l>oSQT*Xy9%i{Db#>+O8kI zhRal^r2Tx@XmITY9RK-^Mk%@nU)~mjg{@?U^wVl^SHA4N-#zDC_p-kw*VXmLcfrf9=w(f-wFipp4p#b4;8xyjd5BSA3XfV zYd`wR3rg)5zkP`YrpCq77rwLe*1E2b-%0lOJ|_jV(^?Yk!CBwSM(!W{W4>u@aQ2~V z`Xcni{ll|DqcQtsFfg5W-to`QX)s=MdyJQ9;0~k#BNmhU|DBjW^{G#xLHZu^Z=Stl zPlJ}rLQ^LNH__H^q@J6wu#B_k(4ZKP`0)3H65rAlx#vP(()>ip?U&@}?7$w{*}EQt zAjL0?TUtqKFVAW?a6CugU*S3)E*4$6Ad<-hnwnLi$$D;QDrQv(_OQ9)QO~0#1vYZ#VNDTx zM*;Su;V%{-aHyMf0sMfmvJ+jY>6Q}A)f8Awyqu6`3CiUpFr_d^(li`h@KqgP_jCr} znyBK`iQ+Lnk#{NVE>O%qJq)~yEi$y2d<&R}^g1blh{l+JP&!0!%qMk1P&8B51Zs~x z*lJlKi7ltC?A;(?(9sSsCFD`8RfSt~u%7w|S|&x|Xw~Tw1CQfQS!K#r$y8dz&e!}_a))%oi=3wlV3As%6^NkOutI*6bKU?fRX2 zE(psT4F`g>Ub!%oK{gGBB3L2BtHMy6Ls4+2nqq@O#(*j%OZ(vc-9|0TDyXIY7B&?; zD1s#FJ10_5JE88hr&{2=oWfEyCXECL&{G|O(F7$1l4t^&I15ANg9()A`~tJ#m9UhS zK2BT|TN&u4pMf%N;IqMl1I;RA#FSiS`X18&gRcmdAgYWIM~}HFK`%eG0ayxa+;yY1 zy;o-IcH+h4Oeqmjo)KmXajT zy|Ji|*SPCL@T9zsd`T+cicZRPUKi)+P&OPL9MgKX&rxpahe!&wU&}p=ocKr8Sf&)H zf{Za-zOFPol?I*0|JEwQY@ic9&9e1+R_@R<7&aV|;IeLA@?%=sT zxUTy{jyQX6axn9xTXT3YqWics-}cmTW9hP(DQ1pethH-BvNCTUIABcE z`ONY2St#Y&4_&>X#~GgIIGP-R_lDCcN{L;tZbbu=#x-n%@>FPb3Q z`EjTEF~p0pt%K*$%IoVl!CBZOq<(~K7Xyl1g_}B!%bjc_KI3_c(@sQkJ;~}yk&1RF zst4t*WJ-#QU(NPiRl$@UZ{44ofYMdH%TgRmpVN_xhB4zJkxU4rVdQ=%jnV7rk9tWM zgjxA3EXxdNU8KW%>Q3c?LQfy*1y@Eb?3{Y%QFSW=MS!8CYiw2wg-4sJ&|-wqH(rNX zEMgOl8WXJ}vu$?C;QvN$A7 zRO?Y%m`e2nyCS7=aSX~i^~Obag)z{JaFsrIT?_Xj2gmXnOZ1FgZup5|I`h2C|T6|`pN|70k2 zlzzu6sZnm1~n1t7W@hQM5i-lNW?(u{Z19BU%Ml^mSrWyoC+IvNkC)Zj6}(}_Mm9Lrdy5QAam1Yj!%}U-T9~~<_5_9%-Nb?8}3aJjen_ZHA^Z*w&6`gKKq*ypmcjA?n9#nH6l|5j3HzE-Dlm4 z@-S!DJk#PDma};Vw;tbSz2|+k;E{p&8-I)$tm;9o=3LH) zg}w?#K?k>9eeEiqaRzLcetnE+?c;ok=fk@(&-*umZm?4K3K+i(#q6K$MID)6>}8L< z?B4J6MX2qYx9|Vbb@rYb-@rBZ*LuW`Yi{qj&qdwaB|$Tm$<2^_i@E+&+=DN&Mi=+` z7qvw3F>oI+@&or^mkq2vPkQ?-(=J8+KPa~K`S6WLHY0uTi1|9;!Pz&y!Hk&Al<7Ut z$z)$1TrbOPyK^JZ}ASe`v+ez-^2U1|5bl*Q~QPuZGntD zK?8QH=309)?9a9`pM3l5c6y6=EQ4JcXYV^Jcf*hOZ)epX$9G->c<&GIF^^@i6a9Vf zV;u1k$an@!oHt5qOPQF+(xV9Qb3MlVKrMx%Q&L4H#v(5SCCI!lraU-B9V^Kwp0g~z z3Re$SJ!@J!3S3$GNw&{K--W1v*D2~@w1Fbv^|9z_aYQ}`#em^Kx&zTgScUUD*b82< z#dFwgcuU*$onyZwxRu~8NZ)6dg~R{|NSlP9fLeUsFv13?srUleR4VF`3R*kwo+KlI zVvR7?m|~3dk;Wt62a0x5Cg6y`sBSO->Ex%A)W^)lqppJWXdU4i&j6<)_ow_VhIh#)z zNEV!VIO)Y;O`9r<%H$;wo%0((ofuGO$PfWp-K@1VS0 zB+fCEuEP^u^sSLO(~-w_bLjN^i)F$Sg4$KU`#%_sj9>WDAQL#kC-g>8*&#r8k>ah z4qbj)d;=z7F{@~Wuh6W#{uJqQqUCwRa&5 zo8h68U&wtE5RKPb&$gp}Mf`zFi>_(Jy>d1!3VVl=Y3O5O$plz0mM4dk?of)KKR=R{F4OgdG^RX;1Ep}XI?X1l^~t)B?YA}wsepHc?R(W6 zvG9Xr1~taZL3P|y({OZH=N;QiaY-8QzT zPV2sZvm(5WKi-yNONJYl`? z8eFzzw*%{AoOYl^bBi=aL;9zLRn_Rlm<>&F9#3cn!4-~O$r4=F6hb1EZstQ0P`MZr z^76ArDg@o&_6)kH><>vwW!vMr^>VVD^%E6tpLJjph<-H~HMp*t>!Efv$ZBsyzBioi zk^FK9)=hrzUVO2-Ll}Hbh2gqu?LfD?gGE&20W2&I^C0^?!^n8SWfvepHdvCnzY5M? zFUtoH?tkgV*^mBC!aVKSthQ0i(?r^Q$8}?QSgiXU>n+dNW9p&*ji(W_<=NPcThtZ4 zANi5drYg(wJ;Xc1EuDLRvRtUd_x$*+oA+-dOXmFdd9M&^=rh(z_WkZ88K;6V&x;rmD z+h_P*cJJvw;9Yme&3Zi|UUvT~Yk2J~R-=pKnVx&~2DJK@Fl%$KnGNmIdhQMVO?Xra zvZLkxztc1P?ridb$p=OeJFVrx{#w-^`W)+>fsymAy1N>vO|jDTHdgNk3ipDE+)ZKE z&uZTv`tt|l3g5Syy5cECxsraS6}GR%*9`yQ>z2Fw16{a_aBH%!)kTgcV^r$mN*dsa zZ%%{DZRIDY`}7=onkV4?XZM{kyp<8QUVOeY2{FMALAz0eZ^ zYS-u6cG$i9J|RA2xZif}pPb5ffunyLGOTO$HsbF@ZFl?^y}e^}Y2v~K>uAH;Z+v^6 zO&wf8Pt0&_75Ny487_hT65Jm->Qc?#oEGQX{hN#Z-QbSj4Iyt`Mi|l` zZJ`U220Fdh?=}q8myPaK@~^HV8Cc(g@0Gy!O5l4Xpc1(1fiU31_e$wcNeOVvk5YAX zuTuMJI+V8o)?mWWpm48(k?#t6xB~xl=<(?`x>v=zlAguOqdZmLULzgmC%sOk53z9%O1R1EW{;`$T9l&n_Gc8(To z!ld(vOYSaZ+xB)jQ`Up!tr>D?_jCLSn~**^e>msmIk97SaJQPD zCdabBDC!E^vNcYva7~aZX{@RN`8J$$ZGX_OW(H4tynUBo`>Z`zq?gHG?IUfm`!X$g z_xq&(Ux$X)GhU2CUe5(n>v$98@Wh6b7IP(udrfjbrhgM@evkFLPy#W@m<{ezTu0x9 zME69DcY{BMr1$jrUf_Er@VyfFUI~1!1pdU70FQ(yWk&apS~2Stg(r_x{h{hL_FG4H zH?h&8NjSetGQVe)gnlYQTmNfVZSLg;{K%2$<1;w9OB~TFj*+f+;}TYsCqs1U=Qi#( zjwoB$atar>1bpfw?$gKc9@~=xJGRqCf2(Cz>_o<&DR({tIk)X}T~Y5a?0Us>4z9Mw zUB@UVzqSHC* zC17F4z0R7nel>_l?4Ywi5~+O(9b=WB(h{!mp29edskyX5ftRC$Rw9I;(TN4toJ(B! zE1`mOUMCkhfV5SctJ1s4-B=@RT}B33b;5UqEO}#&II3tdX`$!e!4QY+3_v zYHS2GwOB_DtpY5vVV!CsgZF6KMpB5p*Q1M##o3~#k{_HYO*Q>QS_&H+jk)v+1Dhm| zM!rC@x={2|09$9mulK2R@o4zPqz_BSlLYoV%!1Ja{G#_1B%d%~8$#FFr+~q?yps-q zGC*O9SE}IYbYflnhH5Mhg?3>twA`TtIN4Uv=+neyp-2+ic@Y$0Zxo+0K!#8U%%O#2 zibb=G=wzVE7mNvsBv{E^Xgfjg4Tb1P{wk8vMSGYkL98&MSCzAML0W@ev8~o}8t0`u zoy-^7VIP(%P8POnbz1Enz*#jW6%nJ77kE+;*u|Qe*9p~Y699sqpMW>;6+`bj;jH+4 z`E*qmCwg;`iewvu)pRniR_qJ1I%>jnKIcUUtMme%Y(kcFV?~ZsAVu1jU&*(Go*=4_ zb|8hL@}^cgoB8o^l=$j_SA`fO-0?WFALvsVgvI)OzPt4;oitPc;qx3BmTwY{YyB8dQmP&3*Q-BSMAWpsP{gA zpyxjL@BOD@di~XR{$shX{*71f%Dub#c{vy0FaKv#zQXL>d;iM6@C#ew*{!pipFey4 z?%6jEZVLP8(e5|8wcdIA_VC%=kA8G_E%l$j^Yy>2IDhY_o_|U1-RJ+>^K$R}#vM5q z;V=H=uM7D<{FDFn&+ZU!xtpK=#PjaKH_|HkvkMar`;z$|J!Fj@UeenW$@u&eE$z5;>}wh`N&5OKEhi4 z_rCYVS6_Ygr+@n3Z1!h9@~WuM-1w&Lz`nb~z*oNV)vxY~{ki}B-?w^y{kMPlox=b2 zm$hFZ_py8b<=D~`Oz`}Rci-_7Y=RE_ALW1jPZILxtvh#S2Q%LGK!X=weetJ%+CA84 z;J(Rs+SotgM&i}^`RCvDu5Cy@YdTlE!`=epm&4r4H`DjMMwgf`S=-dR7++K$?d6wj zzx1-c_MCPz-`(Fp^v=F0&Q1O0YtR0mz8Llt@bKXa<9^+b;r`9vyfyjS+1G5fzu5T1 z*p2nK*S2pyFX6Bs_)q=RL+a`=BCaGood)lC2O7AqZKUvtu^aJo@pD4(p}d0!P8w{; zZ_0E3K3@WVDq!D&y{!>G{i#oXN)Zq49~ho}HQrJg+`pgpnt!qq2M7Babf4;y2E*3^ z$9FO=m(+pw11Y)cvW*=VVe*0Qc|>e^7d$0;aawQGbMMdKYvIt-c=y-tgtSRf`WfR> zoFY6r1kvP2^E>>bFCqLUAL8Vf+u68ApPt^MKO>qwvj%y|DfU9Ufl>3NAy4eAe9s3a z$x9MPsb0wmqR~7)dJ3F9zet~Q@Y>T3Kh)Ntx4eR}XOcothF^MW59#uOs5mfK#MZZ- zot)XrS>U~rrW;UbGy6l1BRgmG^Q6THn=}nECL9RS_vDej3!Yt0I7GNf2Qkr;vaGkxDJgVoB9$=2?%?4IbQGo_6$?5=0tTbWx@Z>KVZr4+Xv%B{ ztPD<;)Z9u5prDda+YKFz6{#LhZrIWus{AZpG}t9EG6B?4vVr^ zYpP*G#x(D6S8C*_sJMc*F=q@~;M~cZZ88@7s_NLQy%&$l81On#1sdwx4~}f-TI;$n&ru>aT<^?3Q+-q zBD#nH0w|qhMpK*!KP=tp57H>Z4xKJXc>-SKYA{6qg^}E8cbbQ*sYZeqCL1+KdccRTc~!fnx(Tnev2c%dya66EHx=SsRxY zx;uLY2SU(h7>pC}!M4ybeo%>nq6fc<-qmaj7vYY~bDuztlBGcf=*W{aQ#rC(9zH>& z01L`ng^7_z^I^X~yE--Cpg5Zrhp*$%7>djIh+*Kfb1HsUEHx~c&*t8FNI5uNi!;Wq zC>sxlswwAC4TD-1t1RHk?{Yt|B=eHSu#^(Pw&qjAYEWOpJN+@@r}ID@q^m>_ zNk*R|DlCFN;Ne0y51#vgYf%VIGE^hMT#=S@&s}|);Z@dE4C#h7x|{MDXu`LSJAkhu zDM;_dLbn^laXyk~TMA9er6f6@tm^R@Ut$yHCE zF@a5Q|G)o7{~vqr18qri*Y{QT?OyI3J?Y)6j4Yi5L|w8*gJ9W*<&}lblcst_I1nr| z1SU$b@XTT{oY)J(iXcm@-L1K=AHGkR!@aVd0|^QJqAVuEMvJi$C;Zv%t2u%s=$ti< z>`lyxepMEs#mv-jNefLGK_4BF*|MK^~DV|)9-H$V91HpZ$ue|mG#^8e*e zzwP6qeRJ>U{#&_uzOf-o_uT*R^T9TL?Em@cKM?-(6YF1C`)d#W)X&^y?hbcz5NO;5Wh?p`8@WGp;k#c83%S_J zLp<{e^V-e)FPfhTOxZ zRrU1KiWT>Sc;zeS+i!g1vZaX9?vA;&{nVlb34bfV=gY8r(SYx-nJe=vvW!0nNLH)C zRdbRCX5;GPx032=9DVoendVy4SHDGjc>Zv3=Zm~PMwMp zPlX)`(SV=e1Pu;0Lb=^2UE46Y;Kp-<;{ImwE}kGQ+PH-;+yNIMc}5)lo+VO@r?8%; z4Wt%-#yn8O$j`l~rLMUVdpvEp#<>hQyiWS1hOWjW5bQE}usp5<#Pro&IT?5wbrajQ z_5_wLoAx@}KJ<=bl9iXoye{rOEuW+!!DK1r!E>G?{>0GwyPhF^&wSYmuBBrRvbR*P z+Vz22sE<&F-x!u)ePcB+>p;(5coj!fHl8u}Oy)~|j4iMU3!RRNboF$m@R)&vKkmlX z?eh7qOocAJ1kj_eX0t!OLJiH4St|s&g3pFWmOIBkWM%BK;v7gxY62@ z#lbG+QbG|0oNe%gWMTotI&*p=!|Y;LhK=n9bPw}dEv{SAqPkfbWx$WTtV{Q)LRJ~& zg|nu7!b|{yG)YtD<4BEdMzUi2joh5mNU!7|KJ+~CI)xFPEc6Wsos^*llypDktEDX> za5uf+fFpjXec&m6#H(mx9%2M#0jnp7T+u5MjSVj65Jkx{Y%wYVmM^T=ispn?2Q`*j zpiu_n$Ru7e*y$#gerK4q%B^CAf|J`o7$9KdFo|jC)Ga_+3j$7eff{SZ4ux`k^J2@0=9uhp{$8=Yt9jMl5tpkG6@$?<36B*Q$ij25#kA0oP^q-WQg;cO$36s-1d!JiSPxk%k`ii! zr4GO9ny==molSB|L(`r;lc$QSBPzD|OrNIa+AoEqaQekp9~;9sN90)1SQp&FA( z^rIX#Iz)~njNt?cJDPUoTV#WoB)Ucdb_`!{Vlb@vQrKv+<1_XHGu|7%6c)Y*u}<&! ztX$BoO81Ziz7)2?(cf9nCj}CV-O0|lM+&}4VTXiFT1cs)06)w4&X-($XbR0{zPm;* zMCV&(D|BKmBut@XMR)y6VQe9YkT!)P2Ic%^kRS<<`lOJY+-tk96UHKJ1qw3dKDLipC$iiAq*Pnzhg)QsecCE3CVDxbgt+)oS zLyvfkJM9~rIUaIWC;`vvv6Y8zm++bV-HeB%jDmsVg#3YJC{(vXrIfhb(1i*&63E2x z{PyrJp||v|`TUBeYXqep4wJ>6N1!SX3@!SE6ttoZ8^NcZB(W7ZT1XlX7kB`)3qSQN z^=s)3SzSoLwn1DtnrnLn2{vs^qkhI(7$4wM~ODfZ4_`6Egv`yK-W0*%u}EAhka`$A@x1gZjUd!`ZA|yWr(iv>u5ER5j=yuyr^SqbVyUzMD?G$%D~5D0*gO zJjsd?3FCCkYRSY~Q!$rWhNfR)9+3j29j+QDK_=^fBd)+Poy8f|xF<+Z*jc|Um?s^w zD>n#Ag9^)F;or?tSM>U&Hpr%$QhNVXR%KMleN3BP*^73<$Z~rEE%4;Y8%ybTV$wn` zz{wY8Gf-3-2u9Iap51u1ONeXFak3SY@Z=@P#+DoO7~@dTcB;n5h2P;baTp4^MP?H> z(Sl}Gj+(fBSukg7VY10&Vzk1uU$&HHu}tfw7!QnVgsV`3sZQ_lSmXB)!u>&U!?y9Nmk#~*oUcoE945ch2YbDPZgx04 zf`{bLrPY3mSY0eGuK&&+VUzn~(fXf97|PG1#FQ6;($! z3z{Y`U3gzG<2W%cEj%^tseI#eZ%WeR6Le}X>CkajwiW6O^OP2)I!L5JGIHFdOnWg> zZe-n!b$P17XcNwv!lg;C&qDA(u}PZPTD6d2xMXE9?j$KqFZ5kVr#6+%YKp-m)>Jrn zn&WWb@?{oNg2Y(^;TyPHZU`1jKEh0_2M|dVTutBLj)ZR|;1&)3;sW3BGaIzI`SJNj z58m`E54>B%cg_D=m@~MxJ-_zbU-{|m?|j+!{WIZz^1q663NQObb5-HnKl9M{$~ESX z{_W})VcG#IK&;88q0~ zHXG95pZxv%eIhdsepS*f| zOZemHX7kT(zi#v3sm^b_Me6<7{KrBWn9ls{=Hrjg|H`}n;UmI7K7V|E_3C`y|Ifbu zoeKB9?^~T*+QN^$Uss-a>~$M|Rjxqgb?x43_uluweGhDJZqL8vTizLBMe5-*3rNx6 z*M8&iT9l8@|C^veXY=glCX=i$dE5W{e}@?Jl+CQRw_mmQxiG)>=`Z;+KOOMq*T3Pb zzDK@aJ^K+|X$#o6cjMkyz4}$JMgtcA-*Lx+aM*JXm)kdU!QHc^n-s7WIqoqp3$upp zJmfuFX}FG=f2_rK4}5_ipExVrmq4k)8r`>=pQT_nnQu&3<-3`GDR{XXxXby+mwq@m z)pwr%&hu<&e*UiQ^LHKaIj@-f`gvoMq#iWpr$77SpJ7(1G8Ow^7!K$8;?HoXu!mE0-MczBT}0(XlEnQ?aKM{o15oO zKNIHY6<-=$x$^MC@*f9Vw2yE5KYsYLqE(Mnk7(9=U4yqi{??7t=T4s!M_eaxeGS-^ z-UJQu*afj^_=QKBHCAFGcr)0=C!Btvxq2e;WJ`1>=IpQ;t*HW?g*P0FQXtnT{ssX2r(Jas*119KHz zjpFMU=WQy5latUDcvTazET)=!0?H=4srcb97T|0!^A*swvY_clbezfP zzp}iDv@j6V^R@}fCF0Fwy~qNMpjsyEh7em^2Ho+~LSN5M_Hx?Wp})67FC`nP+6%?1 zY{-;)U6K>~S}aP$kL^L9Rg|3jBihrUjlD{Enf9o}6G2gZMtU)SLe@GBM>MILNLe;w z)S-4=k7>kkG%CTWzLi=Ihp$M;8WQvbGnbXnr5oK=3coUy<+cr}hJT71XI$l3fL-`j zJwg0H7ow`8IVRTFe8ENDOlAw}W4Fxcf}?bTWtLdf)M&x3J_8pA+{B6)%bD(K1C!`l z%EbkSmcZIW*ZPC@MDZBLh$VZ0G%{GTtoZFama2tsKT|4WJ_6jGj1am+VafpZdeiRj-6RM@Un>XFREpz%b#I6d*dO z8Q}_o4FJEzO5lb^Yb}SQ62`~U39@I@1Fgq+<-AWBvI4SF%n={s0&TL8pfC%_7QQu) zu8N>etC6U>y$0~sTFGM+rA)^TRYB*qDePcUkFgb*%pO`s_>{XI%1~haj1Qe_5g;&4 zpeRlZ$|G>l9ZGh&j^&R~H>?VcXn!LHGiok>*&j)UdC71`O$AipLJ4sQ_jwh!k8zPA zZEQMqp6vH4RBnLbxq-0?c%2$>jjOt&9I0bvK+h%l1bl!V1p!a?JmGxTY|o6T*~aw_DcJR3)IHHt16h zxr;L%_>6I^MhS_EZA^QQY_Z*NXB~IdsVGG zTJFyd+B8dy<1i3$yHyOjf|D1gG{2pKTp zJY?9YaIRbNdM(VIp-gy3F)C4mZ(oi#(-7Wq`XXI7uM-}bKr#y@o1lT7&xvq?nhUT( zL^n}cj$rDC_>dZ&URv2vrVB7&DB7T!s!wf4=T;iStCG0S1mDONHsa@(wg@-^ZLj}>=7WS*0Hgl#jjgaw_uij5|DL#{S9Z_I8r=UC zKl4$^{Qo9bJ{lz9KdiwfqYx`NI8M^w+_`6-X*Ib0q0K*sWSRN;_S72KmC#4t_e){j z^3VNU_u7b-^z$mX2Id>{51sz<(_xe5h(ZO8jBL-bNtW-IZf?pxV-;^vsAkO8SAN^S ze-&Bt&7&GX_Tmxz%D;Q?_vC)y(?9%PxiNo-G`NZeryt*(pN$-?28K1m%j%VdGAGG7 zpScp>>wopHd`cSd6>YO&e2qm*pN7VH(cD%iT+R#Fiq~DUW_RVS)t7x3OBgm;)2z-g z#kn`h+J43GwJ*uBosD75?glrB##S~LWB8%QhBm{k&c;h$UbhKdm~URV@8CY_#F#T@ zo_M0gU(cPRoJ#TSZ$H3Ned6@lvzzlPS2pLHO&w7dDvnhM;fSA`^*2XPnaxw%_icwY z-Z!fOrX+bhFmHc53wY6h(&a5v+T~4Zux_fKfBX5jZ@+Q-jZ*mc4?eJ}_f7ckzwiE_ zJC^VK!l_3e<(*!&u_J9fmPsswvs)J~oO-5Rb!*Jqw^88A8^cw>6@Iw%ZlJ}P`_8Or z!1{6x-P}-vbLY$fOUsXiG|R$6F+Lh2i*B$w#vGP221N7d)YGiwp>MkmT6`ALt?KF} zu9BVrNS^(J(H{NP+C%3_EAe{bI*aExXz%?iG$+3s>P(kAl`;Xs#VubGM~|c3pppaW zj5_fqw@J6h^casKJtg%s;V2V4&kFQRnXJc%rL}@PBvdP9mF$G0;y|gE*-~Q1LI(Co zEga%JnclZ5ff5O2p>s|@La!uu&;w(KBVlbX<*4<%89jxgFg}cuMgdCB+PTNZplV+b zdo?_FnZXS6rjs1IsXq!@4huF;$qEdK0<*JEzeqNSvZ(XGquU7(Gr6>*SJ~nc-*86+ z&1ea#SmvJk^^maUE?Tv$DYJ36msj)>n0qze^mj4gnn_2BDP5Ht{Z)f%Rg(neiPD3%PxsCGaB;T3&NoHK>OJ8G)sLZ%o7(2w+0URN0u2MDFK-GF$ z*Qv5q)Q;E{1y5%2buEd3r=XT~6J#WId3UzFtW<`1!CG8jbc(zh67py;q)X`Q6w5_)>lsujc8WfWc)YstvteFf zZ9jMLvjTArtxSt@_}Wq?6njR??^rv-q*3$l6H9v)J$JCKLvbgr zMrJZ&rKcrK+0QJck6mkvDTyR?sk=9&GIK-jkCsnqgH7Ri&fuC`6}e$0?8sQ$ojM_( zn$y=^i1tT98F%W^j1tiYGtrZJEbiwFw1Bce3hqoR7Vyt@ynI76qD2^%g=C_4M*GgE zfnJNwep=5tbp&YH*DTF;N*bSYoua7K+<5MFck z!%dkhvZ9w|kuc&`X88CrrL6Pr%VFC^BjzVFg;!nG}iHx5kgWb%P(+rC#lcB)3{={&@!U4; zMDcG72`ov4A{j;ECL>b+tu3(zU(cfHQ!R2+gd8U|JAR0#R3-=}9b^=ic4V|QsLcMCvL2Esu z@7PieL{4RM=%NyI6G!%gaYhppaEWn`s43ZUwv(uxa;cdlDaVOnpnwiQLf6n5msCj+ z1`&oNjf8}_96_NV>4cLKj)~WD5t)LiIV*O8tE`BFOOAU}6(lCOCDa6_5eI`jX=it5 zfFTnrC zO3(^G4>gP$9hjj`Trx@9@n4YzmqT4d|KW21`Eo=y&N9r5*)Wh694+T%bGHb`;EO}} zz!!wv==87O{r&$?Jpbrx{`(&~p4V^v;D^^Kn*Gvh&FH@CU32#F{E6;o?z``S`F$pg z#jo>UKXm!4PKT7=@n63An~vxF_V2hB*3B)c?fhGG-}SDm<|n#8(Y<)zeXsh|_Jq;@ zf79TP{{G^E-v+rs{6M~hLQA88*X>(VScbjSNdlky603+G0i=!pk-FD zT{(Lw!J7)MuI*e#(5lb-SwDKV^TZQp?!5C(RY9DeJ-?lO;5$D5;18UAM-_sv_^`m#%yI5-a{Ah4$ z`~r%^eC$J8Pp!^z(-@z1dyM(LvUTNb^c_Ag zHPCEk)Zho+Xt(;^FMsKqhF=QmcYbFX=coqbB@v(Hr+a%s4?%M%5uD!z(W>WdpweS3gF}oyWl@ki(!r*2*b;tZDnGW8QR|U@0w^-il#E1_SQUbZQy^$y zZA6805A4VphWJJ2QN+W@n*b@#(=ID?BhW3dj%AiXM1#8($2O6=E0L8dzjBG{$RA2E zobjoD%Fc2;m|KmO+)?k<@KOI#ID!OubmCb_h2(e~w?NO%GO=UEmO_s|##9pHyv%VR z<*@QZP>x*qwV1}uzbbl1#FD3Fvo`!3bwd}(o07HU?Smu%p(psb$M%*avZFx;z_khY%2wWy4$gG6CX@B;8yxv_Nc#I9+; z1rE$!(bqtNNzb~_%QHCixYH4sAX-7*2js+2HhH6LjPZ`LF`c?k4_>S9{EVvJVfHJj zj7NrNGPJz`uy3!(mSZEVm2_{cD zb8pJ9YO_JsWrT4kHG&ixqHbXzj)NeMeWXN7hU8AJ$$U^u?LNe$4tYD!K~s5<#mo*} z!Rj}n6N9%0t)(?EvPDmsS#RlD8>rwtQtA9f2@!dq&MDRuN!P_c{m#2yCTfi4cg$+)fHu%L#5$r zYH5dpD%`NblG{TFcyH4>ucyYras%*v;uFhbC9Y^dHPABr(a2ud9-P{n77a1xSc2Z| zO+CzTE%o-U{ne#nQPjy8h%_$1Cxaq?J&aO=xPUJ zkg9Ao(x_-gs~J%&Ttg`jv$&k~%-H+9#N8iD76o}DpvK5$VogO^X|0Xp;3id@ zG(>h1P`PeWW#DfF6z65}6mpB(BsvNGtU!^T@pY zhQ$${V-epz|JZv(_%pxqfff5GvPy&55B*#J@v#vPAwPcMyDljI^E(f$+(YCOg5Fs3 zkKXpGYa;*k4}Z;XEZBH5Zf~BSKls|WrGNIHC4YB;zmDgqKctUp@c9VBh!tyU%@ml^YF=H29^z^)vtT_V(4QtF#mRZ)otrZEftzjEzLs2Rz= z|LoaUZvBm4cJ>fp5@kY`44p4Ukt}JDfLFn`V1vgw9K0G`V6~<%y-}bn zktsRKm{ZiyvGt~|@VDTCM>WVVb9yJ1%c!LW*M&0=Ug<#c8p$9_R=-~9c#!noNW?T{v^ z*`5l97+NEar-G^sf&nOlF#DtoTaKAAzGhu70S?b;9Wrtp#2~^V!&AndUmecACb}hD zG4DmhaiX(l6>gd02{2Sr20O;F4=?3oL(Gh&#obbs3Zu)*$QxLr*P(B00SY4|fD319 zhI$FGrVC!@nQV70H8S`#D8ls=a10ZShRnnh#Tu+8N%IM(tYk~-E<4v@DPVY!wo4uO zn4U+3Fr38PLWKtjxp10g4PbS)Uc5#pKi0loF^8?aBG;w+B&*K0wb_K3$|8kdg9!t; zMFA=fk46^Bj=~I0(q`B$i1}M5aDf^IRd3UNk@kXe|j^4?ruJ*c9cBJAx zRzx~@lVy{MQ4dK1De2hOgD$HUde(by^lN~N&(jtZ3MY9~pwm6ew`u%nx;NXO?D;)r zPl@TgH-pJ|%GP~5gSAza$L&cCY~YB;?FruI1KaO zltqG#Mue`v`D92HiYkW*~=H<++wJ&N!3sG_K4uZ zQzBM|3&ONgQ>*L8xH>G@ltYCo$)uGi#0RD{V;do56T0z4GvwUrrH3iW5|O*CN}qIW zR|!wDnMt^rSQ^8)=5ErT_8CgldkJc4mh*_i!b%EAh_AI~D5)^XBRTC3I=;)x*xq=* zS`FS)N;6ur+_vdvRKqA8jCl26ueaCPqn;AQRPFj{Z_kc*rrm-C^vz2eYV5?}CrcLa z>^0qlor0e0fb|kw*i1n1mldNOkS2TyIq z>99HPY)1N}OHUnq^7c>u*7o*ic%|?v$oc%U0d_{ePAAZPb=r=9zD0TTmzDs zt+mOu!{Ih&bT3^xyY;TEr3UTyNH1O5LW9@bzP)|%;KBF2#fcjY0AubuxN0Is*xz{i z%zNc7;c$xU;X=>wa`+=l{x7!gfz3;sw|(kYKc!+@T3mc}_x1DnYd-m!*KFTApTBuq zuNiCqo!3w?pW>ayJ2xLw#sa+i>CtOje{i9!jYAxgyv9r5?x4YKuV1S;@Rwe1%xm~2 z?DoC7t$m1wuz6{F`}W_u{gZ~T%3AaD2d$(JJbCWjtv|TOXdrx^FeBc6*VQ#wDi@)- z$As^~GK1dDU6?uF41D*PudkCpk8a?snM)@|OW}wxG7*<=iG-zpMO-}q50+QWtr<8=*KtDoO$xe2j_3O`tY;(a_n2*N&8Vd=#|F-y-`G6!J74|ed$yf<_$DoUMcrh0XZt;Gy1$ikBX>T3 ztbgs%YuDs%KgNse3*gn(&1=`5x%Tl3?>+Uwr{foU7R2j#q=7k?H}3~uhjBrAtY44n znjP90{dX&6uzg|s)CS`O-*usT>VwtIYruV5_wH~gk#Hvup_JJx%Q7>Qb1YyezwAQ; zjb8wDJGq;b%rh^aEK3gU?qPgj;R2G;w-?eWLVOY0Lp(l)c)y3C9>SJGAl*O^wb>TEc?z~8z^+g*b>(ot2scdbgKt;u=X93 zF@wz0hfe7kq?wmpu3vZ)(JD{UMtaBG;RbW3;Pa^V+hB{i1bTdJK&m~={9Ix`(hCOHrrz?mv~IFF*w%E54? z@1|mwi6>@scl|u(K)g1jLxSoQQEv3HuFMZzjXL9`-p zVrH8e`p^%oLP^B;qysf2l1#mj=MY;Ohzl!q(umj_!kg8!F=?TSCNWwO0iX`aRy+ci zLQKe{vH@f%FUG?}VMusT6TeAi;-tH_77{4KdC`uk-zrU@mwbmN^JBMflA-Z@R*oxW z!e|bgn}-;jxJ}g|gQ#aYK$XoIFa9CzKy@9iidwk1`EXb3W)ijZ@O{sq8=6rh-Jq+r z!w}14WFXVEGAz*$Rf}RcD;Ra^I^Lq24oy~3S}rpKt5%?GwAj;F?Tbac=|a&U6p2-% zEncFjg%e3lNDCWQpptSCJPt<_)?h-Y9t#>Fmc>78KFCfT1EXDd`3PwssMI64Iv>@# z9BM_Zt4ZygapZNz!F#(w0UF&BlF$$oj)^iQ+fQm1n{bbyN$f(PAOP-Ei4G~GpUYBk zF3?s^9&31H$VB|fC-8her(f)0mWSZ#DZCUE5K%8r%)YGb!dXn5R3sbdx?n<+!mKjh z8NU$c8hYcTX4lJ`!-k`?C+>)E!cGH5xCK~z8Ask6+=sknq{xHK^bn+;+d~u1Ql~@h z3O9uAgL<(lJXm0qxU9yl5THg&QH^RMX<)4m)uox&1tj=%ETEtClW|^8z>X<@w5wDO zI`r~}xOZqs6~0Jc#vXxlj@Lvom&|Gx+N)ZD9dZ41C$`UBPl{Ni)$le@A~Qh>Nd;BL z{cN1pd{!Vd+7T4$38pH9)wC^~JCv!~lw#E!OYok1xgE@K!!NoWUvc}Ui4<`9=D;!W zso3QkMFB0c;r$?9r1HDnoqmURZkewqtYVI?#FAAGxqBP}ZAuZrO9CgK`XGr$(+hEY z5R!83u0!Y_1ynI2w13&(k`g$55ocJ zlI&crIfsY9N3gl(?0m(PWw3pon4dlzZ6!Q^+x$B&oV{@2M;~|%jX)q3HaCB=|IW}> zzwYP1_1_WpzWJH6at{MrN5^8oRUK^Negun1yI0+u2Ig(%JI-yLJNGyL((OMQrAH0E zv^eum6dqjqi~pP4@9%x(-;sM5I-lrlZgk&Qvs+bn!?fUe0ZI!jBoPf_n#7O~0tS%!Wp5*Ii^cLhxBsHktF&28h_0Lys-6MXcs>;!8< z+EhG}Q>AM86-v`)NV3FVg|(2#4Oma2p}PpjP>=V@Bn_>?T{O&WuggX!NM$Y){0@24 zgr0b64nYDgf+Vb^*x=PlY$)?#i@~%YR+#jlFcgtvC2pt;u$acGn^4G6E9g=ii&{#!7mxhiLvmm;%WjRY;JjoM*kJ5@kmzzm&%W#e~Rk0E(^E%Wr z5{G>(%!)Fv#loDI9Dv3g{62&@q22-{H<-qmk{YV$1j(=rE_#PLposPpOJHbx;=@}g z1y_xJO=ZFEI0BI0UJ+JPkA}qQCOIVVKI zND+QVz_TU`qQ29F2@@G4*Kr&HFN~)J#FRVDt-|{h{B+7L08Mw>i4n*-WNwQtAd%n( zZ{x%E;*(#KeXo01CT5R7FUF!_SvnHstshV=>HYv?n%5H45>yzz&@}CojaqaaWz8YK zCSRRcY7P;C;xQ8hI#u7WvU#eHE~TCRq)PhT5sMTcwG~m~*I`1zbQ!ip(#`<$OI*sP zr1h)rXp&M4_#?FOP0-OI6H_;S7TL8txZrpCJ$|la?o|y;w(l~sd?EROYUGc(W6Z=9 zGg2G1ud?V+3!Pd`H$p7?gnW-dcp<$CyYVXwcuSk!pN_p3zVs@#WxX{mT#UMB#Hb8B zc&-oH9QJOXPqumR1!X%)chjkjOp+teP^rhIsPqoFyn6Diz__ztvJW>6#!r02hm29J zu!GS(Lcqu;>VYWIf`t^Fw3rNO1f2o+(03TKdLT6&E9Mh`7k`dYri`TU?m)kvl!#$Y;5Bwo&|7?+fcw1MkjHoL}4ceNNlL6$v7P`TVk#UmzxH4d6kJAUe+xq*vP7{ zg++|P@lAnq$=~=cFWs_Ab7msRi?>jGEY`+w>)b7@Oj#gm&t;ata^V0m)ufFs4JCBsXhdzIG{;CHyH#Mhy z={482oha-TdaMp5)ZlOX)lYqDbMw+l?HtnLCtv+)%KL~0W;=Nk=KH4Rv@bPdAJ(V< zj=(ZabH^QbEJKa&w%b1S`pxa@XwdXv+LiGO`S+MNn>e2xX26^I>xWwUlE0n7<`(l( z^H#cB#i^HSj(lmwFJ{D>_tqQh{hm`_@``rOy%oFS-p0-AVtMi63hD;lNAmmc_d5)I zu)Fr@^MCks%YOFk*486ozvUKl^KS3uz4j)06OkUt&z$i)eur7)ZBrclejDY&*>hXf z_RX$#Z(~LG>U!{|LhW1S%heW7KmFl+`@WU7dQ)<4RfB`=_{FDF&%AjtmT=e8IT~Cq z*{mU!IsH{;DYAS_SCU;!jBOrP84nIZdF&^Oy^W*0b&*-lLvJ#xNt=cQE~{m-WR^#3 zlGzd_dYjNa4@6IJVCj06FnW=WLb`(D>F5c@GoEU1g=hmU3s$=4Ikh0kC#*Jw3fD1b z;dl;a4wsM@1_7WgeQSb%4L^pf@$-~hKn_;~a)77g@WB_c@!SbcJm-xGu%x&0@&!sG z40{yKm~Nxq=F~tmANHi|&@)^HqXlmlYajsMNu_gRnT@vUUrEn+mbE`7tj?NTmH3!r z6pk@m8VeAG7+BQ$spA#%+zWlXA^9AJUq8dra~-gR!`TzlRP2knGb5HJU>rw)@QMKQ z-A#{t2vR)`jV|>ab+ralA5l+@5aW!SE|(% zQz+yjb=_Fo4n9DY;vu#$XuVoj%1#4(&Z#t+7dg`@)L$7Z$`~6^B<_RR+OVLgN;)G# zX?z9Gj`(;}7|yawE%vMiLPk~MMF`DJ9s&L0;Mz%WGG>XCxhjMx8Xr8vw%2PzkdyVs zQ&zqS<7%r1WiWj6)C#YXRVh|@SutUuN=bn&IVmC4dkYo%Ppm>Cr@V;XmIEHEkY+(K z5tUTQ+!2oIs#7uaRgT&AwbV`xygc4dho);oMl7Z=<2P_BR;c-%l+^pZj1&^Qib4<2Xhpu|~C!@^(1eV6!T; zEko=91`DysP>|F$Wjw;IhuZ9#^tjICW_6_y(;VMrv33~RP=e5o>l1?{3Z)=V#Fvcq{K`$bzCR) zUe@VMoSh{T1WYJU-J4=NhH=c$4x@q;+9X6CPy-xYW*fc1SeI!WJhS0`RhoOUz(^iLffp=B8V@E#;XAH7 znC=vwOLI`_UN+>2eBzbQbCx>F?kJScZVg%=uo5Ay$mD>%54D@nI7yN!VPbkGN%z^1 zFww(gR>3%?LL`NI1BYHwr+(_N4kqc;`GiZjh8rl&e&7>tgertpGpSH207omBPSTnu zJZ5cG^;x1}ru+M}3rz3*qTV;@ETwc8&`0M8&21=6TyK)qG_4sIY0bizs$W;L+E_9v z6wF z7K3g$tUu}IeRhj<-A-pf5zYoYvRIE4I5VZeQE)`)Het$~+W-~HZkUp3G9Q{%r^j34 zerGUa2Rd|RvpH6F`5jxhf#<=<*m=A21M*VI9Gfv)0d^UHC5oR8dOn*l!`}Cq=U&Fq zKu9H|&h@W`qkqs?Bg5&vadkj4IZ;CUrCreD%0nR47xA z_i09CLmOaHCyusIQT{E|glI8sQ10c+I#XJ~6~f%DaKs1t#l{AwzAmrg74e$_M<`ze z6qiXW2r8PGL7*5TUNht^kOC4L_z2&Y>1G?Onl)GYub9s_zH8$H>f_hfo_zB3>C^Lr zKj1C&dHV&~HrA@kE@7`b{bjw2+h%m8{esf=d+vYF&pq{$-(Th1dg{?fN25RZgH>GX zr|34K2K`qEXTMY$oH=vu+_||)H(&Nfh$q9w+1D9q@aUQ1^n&Z$`E&pH$Lsel!g#ix z;(mDb;K8I~{S}T$R)3Gz*-!T5s&TW%3Uwhjm z`Bq5@-($MpY`^w&zP1{g0{wDHISl8b1`pnUVOyz$l*IGkh4%#2KAh*dRwN5IKfBDN z!Vy+A5Jul)I)8x=4qdqa0}V@XsM9qK^5LnMG^@d1kL9bi-_19T?O))|z@^_@Gwqsi zW4;bNTeHP46?36Ad%9ix1ij1TeZ~Zrg1^W1>O>Ob>Tx6o+qnvQ#CS?s?}P```qzN$ zxwOikI$Lku&r9N0Xr+&x{rRo>%KS>FbJfIJBtkPn5JhUW_%m1Zm82(5AMll=y8u6b zY>lT$e9b`vE&yO%gK(F5{90$D;3aWs@a1RwTZWw&q(N-Shed0(_$g`d%8#BtU|q)3 zcjb3^^VnKy#|5rlJw+Q|0H+oxA^j@{B7B_{x(92h!m5>2vHE2m4wK zWr1%SKRTa(y!%0xjXya5*3Fx zXRdEEw>h@->Bem!xQja`H)-w)`~u`^mU;vQ==b}2i0KyYT8`z$Zrs)(^)pLf2J6l$ zWOAQ-Q)~I2o~v{~F+F&KLbD*Yg4=Km9<0fEHgk&^4E;tM4IRq_T1*mc@Noj2K@QU_m)P|=U_W}KcFRfzA z_AQ%iXN2NUlvs@lmkI*1!X-f%^(d5v3SQU@obWNdlCSm_m0rekA*P{E7of{Kt@KP} zLp42qE>MLWVeG0z=Y0;v2ysT7N6ObQEhLRisS3*?cE=l;sbiMMp-EVi9y6KHPB`=q zUT6zV1H%xq#xOB6MwH1sCv;UAH8nNB9tKxqri{fZu;JXCq;wblFx%l%Z#^x+D|H7) zkOBrDA44e}ZM#ya>A44Qdy1W?Hgwn}eiB3~E&=%|tGY|lN+;^;RUHBFEx|TkWxZ__ z3u=r>1pqFM=n7{Xy(Fg);3*1U1+THhqLJG0Q~fGqDm9gbl#t~o39*$pk5^&2o)NN1 zj)zLX-Wj$!S8+8KYavjkfX+GCyv*I;?~-L~MC2+p@rH%#fkV6qi)}+3Rc<m1`8Ail4mCZz0K-tMTn*HJPZN5MB)T3v zw&E>FvLJ}%>F_xUf4?nNAuQKH0fTHiS-gULG(?czn}{heU_!Vc6a_^rs7VZSb{bz0 ze+0G-~-;Q$J(!Jz1ej9FPYW0X76VQ85jT z1WFK;h-jgBNorsfQj*8RjH_if3NI5Ebte>mT_dq3r;>3Dy^8dtF&S1kT#a=>%vQoc zrMg1j>CkI|uD8W;6FT;MOQ$fM*%X75@7e6__-s_rLcJl}+wq6#omD!Ywn6@ygh|C( z-*O?r?JVefMbGD1moN5URFii_~b|j(YXzBfoIbqjvomnvxo!hlV6ACFwl!C#wF}q2U&U(C9u0d78svIZ_ z`hLQP-g+I;t4QC6zRH1w!Hl*j29BwHg8BW$DgbRU+n9aB$QJk~gv5KFtf@Zi=a1QhkE~vvbdMWxk z#{>>)vz0)7tkQ=zAFR@|yvX>flsA5t5D70&-vM*}S`wg7d!P+-zhV8W>}f-Yl89-9BGdBA9UdsZH)? z+=9_S^p5C*aZ6A7bpofG)f|x{NXQo)x_j~+CM1O7?j|(sUDvS-31vUoS4`~RyIWUZ zQM$YmZt<;qJpK8Q5L5WmdH%t_dA)pp>;pHV8*?L$8`1Ci?QkVZjj#I`jWW@UBT#?u zkKBlkVR{1z7e98r6#nLIq?2oFfZ)(GBV2?nTZrX%y{U}l z+HTPcS0!;W_((b$t%(u~Ph7GQVquK~t>CO)y2`rc^7IOuatu5XFLlT8#QNY)68e^7 zqrRBB@S@QZ3iEV|rat@<=wBhzQ6=iBN}~E)vTwxI;zmK1C@M#lp=1wn6{9VK+!Pa` zhC&9QrieoAhKM=is#*y=AZF~xL8IIYGqHSg#SU$Z#U`@&=#det$a}yPfAaE z^`RS^qR9wP%^{6iU{un#eq8yj&L#5r0~Nm(=rvpL-oPovE6HQsp>o)rmB#E>J^`y7srsZ0WfI!(*DP#f_BG}03o1mOndn<^g*AX=q zj|y8ty`|c^Jw^}&L)A18Qm;`+W4Zx+Yt^V?!?dMU2?0L#Zli)xcoZh$Fk2i6uhA@I zqiVv~pe^_=>(o_*vI^7{(3ucFqo+A$x@*23RI9ah`lx>fNh#Qx(kI(EjzlB6T152RSq3d6AR}{n5T+Gj`t{QW^qX zJz+oTMB>A>kVs#JlyZ~0SWf_WRdk9Y;TGv$VPdKx=JTn#i#g9w3M3z@Nt|S% zpmWO22_?j6OG-$kbOHpzSmRCtUf%0Y$J8};#^g{8s)v$=F;NlopxAX8dlfNn9Wt5N z>2#3v`-6VXBw1sn#bl%GL*f=eE1%a%4$6!HZ9?CJyoe=4Ia( zaLYO@n7Pt8&~!ZJb=9u-JKn-9$imqNjf402lSOg>5!A0wdsRI)yN0jJstRH?EZs%A zEn(%Bsc{Oub??eO+3JaEn_~$yE?RrQlO@Y*-#SB)m{I!+ZUIMPYo(JY+nS43^^ zS6o|1prx%4y!@F*i$2BQ&$`%T`9LMug2&@MJ(9gnp7r}aXQ6K1FFJip>kh49Lg9z` zWU4ES!6-u8kXjd%z=!j(C+{c=fRDnFQ8ZW`=~C9skSS&gqdyx=7&q+?3fCt_Z!pfL zd?yc6X~H|)4B&`&0joJtA(jgY-m-a&MVwlRA}1!P;gm;xh6uIE@MyFOmKmS%bdx=d z+)!71LbktW(T(w=aQ|rH+`MyloZY*8cZwx*k=qL4tXPdd(lp-q(aYg{Eb{RSgfw|G^7J&Gfvjv+5`1MgKdKbcP};i)O=#v{j8pi1~=01g{Pkd z7O5O;vWe36HFoidvwakhXz|+p#`zD;Sy|oIPxt%h8yoXW?bn!$`CjwA^NpXxj_}t3Qz~5+oh_&4tQ3I6P_^+A&UD4nj<{jvC?cVSfB$5_V zZ{YDQ{T}ld%u5M;k2za!T9g0qsjvTVl2r{k32xj6`yNruL;ZNW>E^FG_4Q2BRHmJ& zbm!j0HH?{O*4FGcl5Wbm`adzf#*3U=Pp!|g#mG-Q@zK-w^WOCN`T6bYk%u4F+}khw z!q!vQs6gV)@3`Z_g&WnvjnvRvq}vENUV~RY!Iz=M(0{f;{}H~WwEA3I8G=Nm6vID3}&lv%gDDRt-bYi8qMJASk6?Ahv(qcNWy z=41`p`NnhSwzhbGS-Z58?v*R68fZ z>5)#ayrINU%NJ)V6)FS0jjd3jhkbz9mv;DdET85rcN9%1sbmOXn+O)v;CDg=i4IC` zc0w>WLptx`jORFNFbuR>XRA{SQb)%sR4jKQ>^V9${JA1$V@?6W`dsAmrmfh>iR})x z2H13@M=5SAyvj&cTU#2KwW%O7%S`yo35^?5-Gt}}Go$hes&^?Gy->0Tx_@ZRciJ5Cl^)MV| z8Gx)Z-FHf_lqHK-v+cp3Cjw`EOUbjlQmO* z*kjgNu~}vNcP$Kk1dpcp@9jxbQA5h8(s) zlGUp5%1mm*2wk0xS?xRE-N05*GGjnxf+10-mV&EVd~Y@!^ma{(ao!uzyTQ28I8WZv zTh}&rRy7*P2;bfD>I!+zc`?lRey&V>uV^){!}T*it@Z9>1A|#Ft!Lix-mZt*qPc0v z#GmE*3iD2H))1ETmQh0nshJ_av*S!U1)m2|hR^(>a7Sad%!iVEmviBbhRz1E;$w22 zW~-&6YVBb)4EO-d1W}1IlQ?ec$&AK&jGy zb-L_$RbyFehq0Q5wqw#gtoT@cT`snyQ_T|Ar6#(lR)Nu{nFGN~*|dfLb>pr&NTyON zPP;p)LxIV})#y+2C{^24R&uUs@hFzn46w7Zb{r(?l4mEv@m{$*OJ!eXyToi@&`Ud0 zcBvZVz1?09ey39KEqUQGBIt)Kg`Ext`R;TN1}Y)P(c@>P@hh$`vg?TpcH%MBJFG^T zsUd*ieo^>(Zz_%8kbnrYy*QZAhj&5%P4>*p?lMPvs3$&`j#xysdHWw z>E%9)VM)+$HW<{bKOT^w%m8Yb-RU09)DL~AMPb1YY=T4aPgKSfVk-1e7?W8Fvooq@ zJCo_8Osb@0p*D<@WYl$BX$c8AN#K@6QP1|$ana@O*uaeYe$ruhj#ki*pDAcbmm5#( zw)i!dCVE*6V_EOIn-Pc+T1wF%S{LRJW62r9Ly3(sd06SVb`i)A-5c>?rr9YtMez(a-NuP6(Qtglbx*?;W#jx8=b9dw@tctZfsqB ze134@0)+Ezd_Jy=34Xsm{kwhb+O>@q-)bNks*dYx@Uo!6YtOv)wew^y6_y%&S{iKC zW?LF`YSY;?=gyIpg$A@jO&e_Kg$8cq6Jy_(F5FM}+sqyBJ$gahbLwRu?os>q zyzI}{T;kriH>l+s!~3i+{czF0&3t0=CG|#FtNSJOOW(_L%xb-zZ0I+z9I9%=xbgX~ z$iVsg&Bm50<+RxdYqlf$*z>``)>B{gRo7~N#V^{CG2g&Hlpt~17OCib9qIg)`7?{J zAnQHYtMfBY9u|GRkOsg_XmIYC{%5Jf8l!MU1Fps~;ec7w0LyV*gEM^F>8J)5*z@XO z{_w+Su+8RO-NPELh7amIe}uK$Tn8)YH1&E=UtB>2?}c+`x8?`S9k}M3+vlHpimTud zoHA!~8h2T*Ge~4uf-0bxGk0kkO@(t}61&lN>D;lt!_4#leF63R`Q(m9EM&)j- zyYmuS>nJ`Dj1~R5rMrx}^ikA*`r!CdT4I;J)v_6{1lDUpgw?7PwH7kKl6UEAY0sxC z>8<9gex)%C32D9Zvx5=r%2|ovR(2~vt*zv)DbUnVG>O$^tOM@F)AL&b&$jMj>W6C$ z>@le8XxL;?q2~Z=u#psnc*p zC-SbLo@-!X8a`J+FUJ2umB0(o3T{^QFJjU+tKy4%FP6ZICGcViyjTKX*b>0J^IzB$ z*Ha6RCby3Jf`=x@q^Qx4KkaZ#u{=wZs`tg-$FnT77m$7T1(f}#Np$i>&#e1GYVa&g z_gheH)neA*pi+F{Q@sU6|3pMtA1V3ck)_xI*6VyVwCexkq4D#j#c~uWN^HZcIbOX! zp09LYl=J+Tz-pb{q;wzo+L)}b2#~gJ48D-(HtDt>+=g5Cg-rWJ*)NvBizV;^mVkQ! zWxpu;-uASIhsO0rGF-{h6a~hl+|3;J-vh+?! z`;aYaPhh(ay0vgy7LE7_ZgkB=uH(+P9`iV9Uo6}$R;Kn7e10J8CVxw!4mFCKlHns) zYw5nwm&!3ZwH=c`8O`UI4Bj&8mMY_m`#ihly#8^FSggNX@GMlk^_b5hkr!ECEP)ra z1SXC2;IcPB{nA@XPBDA-R-zuZcyC2U6Xz=MtfL>cc%QX^)d;tw!KwiK2f!iJ7dZIE z*I+%vG?5d)8VhCl3{^-lj?R&D+Yhk~q^^CyKdVC$1#zvUz1?MU7ZbHel9jQ*SAz!5&#d$EcBirEnuUroY|?TE-4l+{6^W zxWl`U3LFEx=z0ux9Tgkc2xPcTt`nQkvS5SHFPW}aUP~@~#aie_fz((E+Ai2avd0c} zM7u?t^@3Z45(}-uopDqREy`J8Jkjf^8vRC z*5UWQPn5MF_=QiN_0SsWQ2j?*;I}u3cSy{7a4(%sT-QTz`!oc=YxjW@zgO=z<#;g0+Q=Xc3KjRr@+qRhG+T9g+X``$$tpThe>uQVCq zPKL3zUJZK*L>_j(;96^HVLe*1cGd97fNpAQSf~Ovh>$zc-WY1<>zWGJz>$q2*|2sz z=6iCpmSa*Rd(*u(oF=5&LhvrbF^@x&^(i!3LD-FB!d8cYKWk$ha`jsOI{4IkzrXU% z{$#MnhtnLU5Bt)@C{%*-*@6$AeyoS44Nxl)-7?*ToBF{t>`B`2&Wip0;Z4L7 zOH7T#0YX9vQZT`DlP8;uwP{HUMKRvnQwiJqI+3`YaW8D%YNC5$u`K=oHX~Pj=_$Klm*lxx{<$XdI4*yVh@O$(BS^_PrpMNeB^b;JoKGZ9)~pezdken?N<7ldy?&Zk9kx5 z&C6S;FU%Y2w}$XN=C-=GBIBaD&8)T;vqkXbb!XJUeP841ezdiSq^kqC?wsrI^$l;x zpI)>B%7j`qRuO^ECZCO-cwXHDU=@FYpBnZJsdbNX#>-<>@?09Uz?KlLSMDm`D*jl1 zxQ9ADC2k1XF{5Sbbl%@NU|+}(rj4z`bFjbNx}^1x-g9U%Pd)YiMGtXnS)mR2IcU%( zaH6XRoaN9RS{6iv<8IG-?OXR?7k&-nierO6o>$N}^y+D%pEmrJdt-3dWwF<#9#33- zJ{{p$)QqN%6YR3?Ar?xjLFr4xjRkRt=LW&9CHDd;^(MFl&74+`| zdWljW7h)0d4T=gH$jN2{i?#o5tXgf=Zd$Eg zy!QY5&dlt4lkk$>|D7+{Ip=)ech33F@0^)AXU>^3w1E=kNqi%W4wLW`m$b4el+Egz zlTrafnKCI9nWx9~Yev|OCW?<>r3%5?1KNH) zIV>_hWM*WPGrMHuJYv%xju8llGVm~t!Q}2&*bE5era!6{YVnQ`P{5Bui9ZS6BOz`? zqK(PWj?mulqf(QP$jHF*({|&zh)z+nEV;z#JaHLBW)j9yl0+AKkj|t=;lXnu>@^m| zZedK)bmL24)|bNQD{JX;r%v0#g=xry*bbv@L8)^R7gvtz1f;Zm5WFmaFN-yj2j7Fj zCg$e1#)6SX?hc{QoRbE=UzjO(a5wfFOO;a^osu}excGzx^iU@Fsa(i6#*r32HwPcw z08);50);Vr5eysl;edbc&5*}3<&;|IB#~G*p~o31K13IhlV1`;9gqdsUKJNA`VyG& zr7%;2VkhNIQeh4`>2ylECB>eAbRt`IN7;fjAc7xJEaOXILPP69E@F0m;Fg$99TA}R z#r!~-2sIuvj0wadc*YS+g#A?G>2mB4nJE{EbavoW=^$>Ly8(njfdE<>cR-T*EivO; zV`ygJLj&7I7UJV$9J@LqocI=5LXJdL(ac1Wc@LUtfy`9WX~tf~_qW6>&2b}h z%dPZnHx~k3edp`wzZ8}$*Hqq=vhQg|Sgzb+3W_Ywy4eM+%P)m>^(8Sg(ZhB^i5Rpk zubc>>#lx?ff4T@sDN@TzPmv5gi*w{I&UXAIiTnl+mntDqlEM_9rI*4wHeQikda2bs zDW_}YQ92y9d8wi(>7}N=2$A4Y_XYQO3afOmZZhOQ93q%$){Y|*xzrGhBlSyFgg_y2 z#g$~8iPC0Y{c=6TH=<@8)l80R=8`3VB7H9oTiCLw5s6B!q~Iey(F%DX?XGoHq~STK zh7>gMM<1V!;tyvA$5zw$IxcN$u0w8oR+@$;cmU00a3!V$geHs#LE|teDs(9$>U|p_ z!J>n>V>46_9*c8{C-~%{>fpjg4vQ2a!*K-=fR3H>nxS9sP zjwXez$Gf0tM3*%MAG*>AmZ(M>AgdwlQ{6?pY%v!s&VA%O!ZCfK z!Q-GA0_GGIErelIL{m6sDsm|vKg`_Gd=>4dY|J)14(dD! zn(x34*R54&x4!Ya-;u6L2TT>buU>g2dAmzw0nHqc1vJrM%%nW}=;PDS#yTOx0@(0| zWr0wJ1#vcQS|<_zB}Jm-ttJAk$vKy#&oEoZ$0n=-5CYSt#n~Gmf-b%je;x4-7FYIP zeRXo)$kOk^MGE5MZrsFU?5a2Dab$2=s%s^7lsbmr7BmpDZ&!0Gs$r3kr%A&qf; zNHC9$2L(+-B$;YprQ?7Vs;Rm5+W5+qi>&ambn{!VGH@Vq)?9lnY9JW=iY<6%(SQ7h zRo3gStFOP{0_<{r94J2qSJx!3KocftT2<8r7aYC;i8g0O4Kc;^;RHmxk`SU_g3Pui z6N4wXhDR_nct;&nF`7|xq{4}((!n4VQU0ja{LW~c{|n~KgMj($~R_+*_*76<6*L@-4+YWVTtpGahQ!HLW{^cA#~bW}v-e1}BAMEm!fYEBw63E=&@@KLBMGTMcb3!1K?l00ci z9_@VKqO@vi6rLLB+>ZxSNL5GPhKtc#J~N2#kW?um$x>#bVZn$d*#sJm;JQoPtYS_P z#DP31WPFSclQ43lpQ}9453p3Y<+0o>h9yXM4K+G)oLELE>4Kdg4j;!K&GI&S9gPV= z9uM@b?99-*13gpiu6@{XOC2miXmp*HrO&sxf z3Y+|?;S^D}*HX0HYz>P;3lCJT1*~vBmjg?lPnd(RGevMMH;0OKtq1~JtP;qnk;2p^ zw3n1{XWj-=nCa$@6B6I`#tD&0!K_g}M)BPxvDOYxz!d0;eQ(l`!kB1-R2-ux+idHC&n*$U(+f*@-dnSvv08IjADp z_&tfWyE*iZf)zXGqSwzp`_;35a^($)@!?SV3u#y`PoDYbNhEjjA!t;?S#QbPO4+yBn$J|A`7DG6jZO!TbL6+Vt+mHddZ zP>(29-bgvl^t`&qSD@)e`X)NzoVAc)uK)O&)Jf|39>aAKB~_HSt*0vG@zYErNEMep zHII_bwh^!quo18kuo37C0dXry3^{2bmL$}ZzRcJvF*qG85+eiSxj#Bis?kR^)3J0q zbcxj0jqM_$e&Na?N0xlY&wj-wLG5Jt6~%)7wCn#$me>EdwR{n5YRBAM*~JUSm3i(>I5oHWu20=Y#erU4I?F)wjbl5oi^5zqmlW{keE zS~OtlB^=JUhDFH^ZrwnV5{)2Oi1X0TS`ys+=K?o%ESISUqbca+0lv`hz??qb-n1?S zLWpDU$-s>%lt|sv1TsV49jK&kXBkmX=GaHwb#Sf>-lGPRYzdO2(ri z(rWyG=ESn-=A^*%Njh^N)~3=b*^Z8()6^-_>y8cCd4J;@Z@op_nOpPE#C_EIp-wto5v7pVf}yI~_>SQO*8y(ZS%KTqPPG!VT%rgo<&ACx6fM0wH8*xgusW(4z^CQHKKhAO% zbm&JLHe6ABMUMK*PK%N;euIal+MBD0*K+sm0|zgDQ+LY2c}H76sH^v~m^~B!_UZ2as^H6gy|^bM9Bh z@AjM{wBoszc&_RjXGJADw`HbNQyj0FIB{aUroQIxOxfXt<7~g;IY(H)bI1EHkElXA zq)|;Vt5Ost&PJqcOO&S3{eb$u`aWrAuGCJZfc_^lf@uyVR?=wa@TQj zeo0+xkw%nZSs2dTu80c-eJFA_`gr z5f{g-1Fg>k(V&DLhZ*-SRbZ)+AcNG5uo=|gQjeD@96pn9SL6~yFPFJh!a>u*_*2kr zOxz(2U_4ZbjaIZ&SBq*WQK3-|2=K-;ikWPlsk*|i;)uk8G=K(a91l{AbAjq22MG&= zJxG`kLu}Y*7?wl7%j*l0F-aG$rpj)Mmp@e@QEA*|>6(e;asWp-2cMqIVELpciczVC zQ92C++#cN{;SrLg8!s8Wl=V)QsY+G+1f!q!wRExAtg)O>_Lwe@5PiP1m@Ke;1;gp9E_T_U?dq?zZ+ znGzcsB8LFT?$zB~U|CVxCjQghwzgXG7%sPQF8=&C(6yW^~0 zoZYSQSRDCx$AXTmL7SC9H{1$CN4$GPnN=95*P;zPNg`8jXyrQAYQ3D@_@)frMjh^? zD{ME3bGE1^xVanJc;+D5Z8ua8OXYzF*rGoMH}kF|C7yb8t$J7*1qHv%^{^mc8m%2W z^NEg>Ur?~*Ff0fLKmYtlbNbhbC*?6EdDAr}2!tBluJEUut`Sn0%9OP3qTftYf*xio zInD!$k%y8wyM-VA$t9Z1U#mXt|^=$hF`=oxhW1#(i~)U>;tAuh09HU zPRGhGQ;1>{Lha9peVOWV{lm&GbPA8#1t*{3Qc2lgAA8;5p@b4#Y0*V93)H>>h2bI zfHpLh{aSim8#i>-NCn3Ll~+#$8K>SsA_~Vd3Z6FZvc?;^8)2TJ#V~ka4F5!A2;e~Z@W;VO5P_(RkcvSNer_+|hZ+c&7^jPnCk}4a zsYW?u!4M^fzo_^#Lb|0_h!-@u6%cuI*63~wamJ~GZ`GeDsi6jm_={=?V<#^M8Y)JC zg{ox8(~sz;Gt9{l;TbS&rL!X-!Y%Nle+gbxr6fTrQ=p-uxGDvi+pl0`rTERF3LbMr z6%@i971gLFM4BPZd6bPDNv5YLATyJk9NEARd$`FGuFiB04*5kGt}so48&xPoe1xc@ zY&~KiZaS$9Z^pp}$*CxqHxsDxC?Ld8#zYPzgYLqZy4JW4E7 zRE#kiG4m*=v`|s$#2E*Jejwzi7zZ@W3@T)S2z~fOg@QYT(-;0QCiA0G)DuE9lhFRD z5Fm`}3~mg$A}V6w0P}SSBU&PcL&xqpQDo?efJB3!=-CwVws0?*2Xl=-;Z;#*oOmYT zX^lT%JYlqWG1iP(cQ24N$Z*9GCSgw~=J#*}IUS6YU}qL7T=C+oBXk#NGz{SI(lFcU z2hth>g1pS*$I=s6%26t48p+DSK}Hl7e-N95?7S+6CEjMt%{NlT{>|HLzIkL)-^Qz5c48Oi&RkvLzs5tg$j0MUWncI7*M2t5CD&N7yC61e=Fm#M#GcQC`JjJ5AHUCKBY-;xUQ}%-L zh9eH_xlWfAFQ+sa_hJDE#qe^fVInS$iGvr}{lqb{j9MMZLoI3^B~Xa>?kYEULZ30az_ zA=wd`k|L17$A6L<%uvwei?7xM#O2V^BNv=Rke&lfDWKh|1Pd;t8Dxz)@AN~Ya2?Ze#WPMbFEaaLU9V3-}JS}?){ktE^yN22bEi>FPax%ap{t zuLo)qbZE~PU(Bm&7>Vzi6<&B@Fc`ll&o^v@wy=PF7W}jeRfYwS#%b<7K6ctzBT}B@ z4HYtTk*tET=tJg>{sGLjS75G6O-8C^J&o&;Lv{=o@8bT#@$I5s;$2*XgSyk$y()@X zdh;8#YB65gWlTHi9358-XKjySJZFd|lQewscyN(b6REP+*7nx=coM!PXBMBCD({XT z6@vfPQJ>#gGPh)Iz8LZN%0)FbYu9GVTU$HYeR1(PNr)DevvP)Y8JT*Wg$)a;s_wh5 zGYeWJv{`I+Eo%ELRiS3huD!oebl4Kx?y9((uxeI=Cs_ zJK__GA({$V9>5K)E{`fSc$QW=hYJmZr<%H!n=7`d!cDh3j@3s<)um_Q?j*xPI%2Yf z%f*l(sU&;}L=v71h1@}8mvOHb-8@qn9X;HpjXQNHVHZ^lb=XtvBz@S5tBy)@g}A4v zqK;H7w^RKP(p|=yi*~CVf7l0;2(gHgW%9_z9my}!=R)eo7YzLx@{1V#7qST75M3`s7m=1R{3wpT6+k`ya7*9qGRrqKWLL2BdLCY|JI9TH?hp7*&hKz2kTj7X; zJb(dKF~g6!MC5E_1QcS}Sb2xAKR6C`_RW-W|zVblwbil68e z3%kuEg{Gh65;89262?KQJ4*UiNi}#Oq!wVHAd-?1%u5M_D3_!rQpqA{P(g?gOQXkd z*lk;c0RXLm)+vC|zneye5!AILsZNZqoUFy;R%mFLK@y(l1l%5IlZcw7I|eVMqyzJi ziI0et>Id37CJ}?WNYONw3pKKh08ueILreC`5kFk4w(u-m$c+j$^o*ld^=kN{twvEq zOvds2K;{C(RK+Ym=bR!5GOH+Tg(1L|4#_%bQMRmWc;tl!UFghVfti3vi4NkYm`P() zC2!477rNIj1?0}2suaXo%2SAsQxfIN{ zpal^{t3g|#P2gfo#h)i+wu98uoD`Gf#?It&gdRy4?E`24F)uyCb#f!LI=MTHMfhq=l!s8Hix*6g8T(1%hNQVT`^@EdJjPw0~hPlQZAZ!}p7MKPo>ntXnx z5bNGGr?*hikfz%Yi`49fNO34>gG3^9;37<;_%up88K6a1T_Sp@03_&Er6Z^dtgy$H zL|@!ff*5N9|5(_G;w3W!FPmhhP<$mUs{{!i?}qZnKt5q56fsgkJcCB2xN<{sWqgPs zfd8C?u{Z0HCP`=}JS0ZqX<@J^9Dqaas^?d&!n)R0S`m$1psQ$I_Sql|F5<0KtyT3G z#qs^u+|n@{;1tEMTypq=Zt%%4J|(!I@s zi_Znc>p7DT<+dQXX4?Tkjv2Z3#qW-f!MiIP_fj2I)znl~j7YXzE1$E^?#L+kB352* zNsr3ixFmisF2Mrr(3^W2WwM~?K+%EBG>@YNnOP;m8k$e%q-KdVlSB9K6iT*iHHCYu zyoqxlH9>9!A{I}xJUDTVkP>&4?D(E+7C2IZD=Ey0XZ_S=Z3e8dgrIw#)Dn_Elo2g` zEKC|<{9u%9DAG83q1iXV4H(_}JY_NUQpkS%rRP_V3Lrm(cupyd6~Y5 z)ySY3HialmT8tnPvWQklfQ<1qi>NTl%|by9yd$F!qKPD}cA$!MoLQ;Cq#!Y)L5?Hj zQF;e}Uhk$<(+!!}-5;>vzpH=EE6>Cg)99)!?9$j7CmIYs*X_ z#zKOnv14Kb2C7U6(lN+Xs*12IV^mI80y+!R&%^{HYMz3}!UUNfRN;xII~54HkSa3&XB|Gn;xELqy+H(MX^zUqC8^67X|c9 zq-1^{cUC*a;czlfGVSzSk8Y{-c{IFp(2R~&>MMeHp zERp5r*X*9e9IfN*Q^lwq;X<61?tC-f-Anaov{sgsmHp~h6~}y4dG~EP@fH-<6ytfo zk$e@Y`=#t$^YAQC%*1Hw$oPwyZbV2_Q?Aq1-(v>S994u&ku_SO7d^2imtncAoTi;W zKvPWVe>rr6Ie5gv&4uR>5s&ATM|bz1;wzy091^-kcN&QrETDA zp`4z$8}kWCc~VD#T0Y#;Q^*X7QJmE-EFos^a#X2GVoq%aa!{Dl!LU~j5a-CrY87S* zG$PGM5Q+V}sKkVTYATAU*L;zVoUfY|l9GaI_)P~rFpD&Jl9gg{P1mZ~d@4~Rq2xoc zM0_jBXd-bmLuxPFP%&B!%?^i&QE2HK((W9sRvb{wdK61!^TiZzEvQ(t9Wu&7_a?$d5=l+D^WtviaO#8BZ6o#o{_3*cy+*4FLzb009w|!47dw!Iw?xs8GnN5x033 zi`Dz+c@r8&1Ef!|5VD9LP95L$ZM1V-Y$tc{A3}ywBA8aW`Gx}r3ksE#^ZmK^=YJ~weYYw2? zG1lUZGDl%d)xAz0;xTswbBmN_mtd)D>!*madNs`F7z+N#4P@tAztX!yfK|Z zPVnr706y83FCR=}cv|J&M zI^aT(aKRxW2mg79UfPc|E@WKce%d`S)U0u(Fvb>oqjED}m?u^$Tc!Y3qthdRR97Ru z;Ap~==MeL@&`TMzcVQtKWT7`!h*p5-Hvzl=;pgHiktb$4TbER<|HsJ)m)0J^r7IFh zmsBZ#D`0pH0!4L)B{Txj!$2Ddg~CBeiegiVTn|x1swsz&=Dc-2H|NZDN__IBjMjxD zHC&LP+gY#!LhDE4YcahlB3Rs+{<>s^XYC!^9$}Rp0hW&PchL zRzBns9hL>=I8k5p35M>lz+8Bbu#s5jM>3ZtW67lnZE|bOUs3`_PAV#jUl)%r^%NJ^ z)NKA^tG;sO%95I@nz#tpT=GBYxmOaaFvgHMsLDcrSX`utf}ue;TXmn6Lm%2rK)G zits$6h6Ovm0tNlrAW@5EmxVEh(X;SijSijq`RBO+?pbmVHrBcz|ZjKI37;NAxHFFEb~q# zM4ns<>AZCOg)GS;{EQGCt)Jl|RYPuR7;;pGsfowt_)ASeB%gKgn;hefZR<$Af07u- zLIJZM6)vOESTO>Ln79l{6yPuljRuo8nj7r{Jld4o&%zoPR+$kxcTz5%M%kc_VyIcM ztg?yqd@55&0j+>z?9dnqyE)!LRGEXCX$Ui*Dv5IwRTPcTiNfNTejuJ9wfIrXW4Z?H z^!hGCn`PvhL`9&f#LkIB%*paj$drcishD!Q6%ZNN0iy(8gG6KKF&mV&Pp~ycM64`1 zrR2sQ%M?uk%FP&6x^`tuu?$%8_TRC@R?@@d`C(mZ$wUMAUTslo|xT^LKaax zSVykGr=ekha8=W=YBd)bWiTX$-@wt-4W`O5jR&?{a|h`?SBt=t(Xmw!*5Fr}>bFF6 z)`T(Z6%Hes8=~V%9I4Zf$f1i-jV=OoFiIt%H;o5fu^=rymI5)SPz&p5s89{ymrE|2 z@)*g}HFT#gfor9JSE5aMBXYnhbZ3)JDZ1&+5a@aF3&e28iZurUJP^=z2Umgt*v%D$ z3mXwVRo;}8W8HP2&}ai`8ch!FZW1YwhD?is4S6`=<(elPb8xv4^Nx6~=i!Bv11?qc zt^f-JFrdM+p~9F4;YRRbSqz4x%VU}HtQ0aekerc2p&HRp7m7O;FmrHf*r@csi4-VlGY1vpCJBJkzH5zPncm-~ z9AH-rKcZM8zRHaDkq8r0O9^Mlb&_|gK}AbR0R_ZHVrUvx4kSiX4a8y&@&#f|;YQ$r zFa?=k58&8|oa(!CjYxV5idx81bMSZr3RdTHhD8b3jEo1+Ok%MB=NuNGt`u}a7Iq~^ zc`{RoB~pO>ZrzeA$f41zX;u!{d0*w+QK;dx&|m>$s#J(!trqj>8Z2PAvC6s>>`Wep z93W?=;7p{z6kT#yatRMGG>n!tubRjqq)1W9o3U{tEw`aJjdYV?i*LEP<;NlgBZvQ; z$Gh+EtlaOt(3Kpkn~ySu+7HEp9rF`AFCC<;IQ$%4_9C04A%c8%mI zntIrAk6{EoEiJ3G)D|=$iKNMoHejWnFCD)WFhx$&HTudFFybbUQNc^5n3Nd0VTxqd zE4`GLI|Yo@Rnj9(k%C88DMZ7`kZ_YZ5dY{Yd9LJ3i&Lk=B+@pXCs>^0;d(5%DRG>T zxE`3m2NT&PeF@fa^q?WYf&>i5F{gY<2O=KKhtl=zgpr3iy@AFPmc4isBS})r3A6y& zOXj1k6J&+v)ts8Do}k>3EoS$q8EPla0<4oh@zikQO|UJn5%`xt08jHyq#ZT!n&R>^ z&ph+Q*-*n^LHU{GC(Z)QwN~}mcf5At?pC>K7%b?PrlFM4lg%1$XUKDmOp?9vM7Gqi zR`E-S&}m5szY-5eF4hm;tWtpYKZ!cGpQHxVx%HB=M1>s7!b_QnVVooxvnf>T{=}02 zV~t{__ThzdmXO+8g3Og<;8})6%@JQh`i&V*oDF_)1*CHUA9RI^P4rkvViFr8)24;-l%O1VxwR^ z*4Vs|v4uPq^%gd>g<7DcP;m7Ficnq20^3@0!2H1QZO#DY*Kk3}%$ zi4_n)0d%}-0ZU-@rTI1Ze4>FT{?{fE{nvVw$fphDEX(YjRMS zF40CcP(>VbLhcc&;Ml^2TCACSV{%K-%fnt2z7X3{djo~^^1UXOX&v*-MS?iV!XCWU z9Bc9{l)YgohNTcv$Sa}nvLm$6Y67U5<4Fy;10em>HMx{sB78ja#sb=^c*l|@6(g!T z;vHMJZrfJ1C|Oh)JNC4wigiSzWC4Q(<>gh2SSJ=74;wa@ zY%UowVz;vxv|+<#mlapl6fbekor`Uw;!B(JiM&EHJ|M8*tqRBmiEA<2PM&-6` z<=d7l88)mnt{xbpDcGCdkQMUHwfh;6R$ru(8rU%drgTA!=i)@3AH;kY1hd~cD*#pJ_E zR8Jcu{Qq9s!-D23R{kon*Nw7iLDMBCgnJ-3(H7+Zovi z*a+AN*a+AN*a+AN*a#dE0(hA7zn+c=I6IP!fQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ`Wa3pM%%k`}E zg~pevd)+hSa{dEt=zzlE^40wDji*-ikmLvTUyeWh+8(dTEA?M(99Gw}S?la(&163rmaVI6l!2Zq%wg0j0E| zhc;@G*R5RM&~NG3S>6o_4}87i;z;jDm0w9`MlN04=Q`!@u_|`O`jVl}zJYNwzO$v| z6KAzFabwMazDu368)_@QThi|y=OXF0$hBwmuX4T&(!U%{r>ulemy5B`fwj{=Jt6`lL3Z?S8S%r^49>h11RSNFoV7X6j(Nh1fU z3*7#l?(pi9wFf+3Tju`F$jb3QDEaz5?vH~PPKgW{dS2nc)fdnBQR&bQF3WH5keqK`ka*y*zHfzs#PMg(Z z`sybuo^zjmR*%O<{s()WoxZ(C+v;Uo{&Uwi7WddA>r0nzJ>#aHr^s*2c;nr@%y5ST6;&< zPN|$&(PJ0%KIw<8ztlgOdcoS0Ry4lY@!1*Qo_*5Cj^BE=@2Wbj zxNqZn_4DOb?-U1G->leOcj5PoZ)<(8VsF(&-!6W<_2Y_t2QJ!HytZ+ddT{xO$BTE2 zic4&UR_!TWQ`qh(*LHixDJ3!IyMdp3?i%fDa6dTma?ewvPY?908oAH&+URq3^x8V= z8P6_dM2qL)(N}oOLYD;kRE_@9J8sRy_P$%j{M>t&GP!mDAA5=SN#&;Y!Rpx0y=}^@ z+94~dANO{wnHN(hjT_N>(CCH!uXT+3NAFRgAI&^DbnyedZ(Z|bti0rsZ}xs<&2!S} zPhYaB_sY<(Du-44pIN;>8ogq|naeNj*Qa>Rx|wHHUAnx_aAjk|Ir?Rj`b<&&(r})3 z+1@^3<%5RvS6u$%K1G`>cdoOxWzvBwr}q8%n48E{_UzxMj_NiXy}XYCJXPQCTJBl=BR``FBBi@&>}UtLp6 z?ewizU)L`>X3>OO)T_7kYhC-2|F((O%<1=$^VJ=9gs*k>@8Mk2GIQCrk^X0_{r!Zy zwp=%;{}p5YxZ@u8bx-%7+4Nq+?DBsf*8fLqKa%bn_wUR4zudIFp>FErZ};CkW>5RP zy2-Krd*`!C{_vEs0|u^hHw2%a^1A^StnXwuOC0) ziGtI-53IR<(|{EPmC}Ry_r?!+w_x~=|GVOQe;BZTzP2f{bn2x82dx{|^3c;$*AF~@ z-T2yvAHHGKz)ABbc^|F2VdcPk3nst#*wks~4SZz&je(zxo3?b|OY?7!JyCw+sRK8S zy{GaicH>h6|G92%^UvO%-e*wJ*id`R;_2Z*r;UBk|BJfm2L_ET_|b%CM&ES%pzG)V zWahI)H*FbIU(nL<+{T;75Bh1ra}A3kH?JD>%DN>p7mvH;n}hy)>?;#qV7L5q&}Z|1 z>tC|$R`+1{{MJn`PPz52!C#;MyM~vFZvE@viwZXRmo2?*?BGcSn_qlo;%zSszO&#x z|F1i4?>G4S>pp1yP5Ab@!Ox8Sr2W;>+uH}PoWG-T#nL-Q58ga>PwmR8JDwffQE;$f z)tVWFrS57+OKjYXn@iONg)OVs&v>nLcy)2}8f|8I=@o5#XRcj7v#In(*Wf_g88iP@ zI?tu?n;bsEoa_{;qMQn-`o{`G=u*{jBse zS5?b~y1RA_krxDZY}`2O;vxMPR9F7DI_rrcXH;KW`{wjn+lOdv6K1}(^loiPjqA#W zKX%+58FG{Bnwgu=xcmJfbql7{zCHDxVM8LW8!G?&*gbQG{K9q9j=!wGXYG)e+iq`p zXV<;OL)I_2EAZC=_f8t}mj(C6-Wz^zbjYU*>H_aiy7!|YhpKtYmKn2$l@%{&lG;PF z?<`Z>76$$nnf-EE<$@p7{{4yBd&)+-nj1cd&KX|zo$5!L|MB#k*=5(e9&h+CI_KAA zGuxi5{phhdyUQ9}EtOlt_f?ifTu<-#c=mm_mOa(>T+1g@?t8ZEKdTp4ZX12y`(>|I zFM09Pq4)PMd#(DV%Fo#SmzVvi?Ujbl-oC%F>;uEi*VXy_ZLd{+KD%y_Z&>vk($0#y&A#Ca{;+B1mbnh! zsOn7(yB?f7%y+r#E&uL{xmWroRsZS5-EYmU_g(M$v;T`3bD#0u()Lcn9{1eWeRsS5 z+P>$BxgEZF)myxK%jfm+EpYuUuy@(KbA3Oo{-E~D;q$Ka{dmELwO=lucaQI9)mta* ztC;t&@0V?#RPK9j-iy8$T-$c+A29FtzL#B}y|_O#Z>#Us1=}a=|FmB6t#);^9~fUh z$hY40`GfnnY~U$8TFP^qu>z3JNRJvgcU8sFQlFD4v(uKo_+yKQ?K4tCTx_}Z)Y zHXj;Z|B&w=3%+bQG`qgV_i^>U=0i*Cm-;?!+duQr*81Q2I$Q@T;{)nn^X+sU*byIH z|CVoe+rj4et@ZEu_PP%Fh)3 zYwX-9Ck=JH%Jyr44(~W6Bn{)2^OEV#%cRNrHJ<(t7W9)IVmC$yEiHIYTBFUX81k0u zS?LRnFID%tXUOIJ2inj9g~R2m`QsZ;t?D7k59+@hfBLmOUXxeqzuGvgu4l8{p|6@! z5iPpf@pbn4mb3L7nQiw+?);;Eupk9n<-s2?f!H=PPb`cyPahO|f6|+n*l%7ndAs)n_g%eadxqY$Kbs zX2|ufsj+vpd*3QM+4V@n)*1J0Df`&9F0d;)_fy|u*B+T|7F!l zpt`{A-{}soK3RLf^R;E}-;Asr|AUgR-{bx`c;S@DfT8CV4qSclj31Q_-BWn+>Kgv2 zdh+tZ`{Y{wxO&R$!bS3JGoC0t^}NDAubwmGXQiip(W5ZfH09?d1XwLY~+8i=h^Aod$g@yw&g!}ePeNtJ+i)Z>DDuD>UoO%#*CNV9(GF4 znvrj7udE-|-ZLcsz2i5_zxia(m*nly6;Gczsb~A@{o_|fDtZ@rM!DGP*%iMpx~R2x zWbKs7`9-&lDyvvO`m7N}KW#isf4%&yy+yAzo~!-AefH9#J&l^aaqBtLd!5{PX~mms z&h_>Bw^3I`{}esC)4q53 z^Cx}F5gGr%`tv8B^gYKLGP1(*!oNTlc^W1J!wVbiyfbx@$K0seeC$HXZxQUG(kZ$6G(H*mvNfZN+OFcc}-Lk9fRz z$Edi(W@y!((lv$cj&g0cXPiJ;BxPSB>75dT4lS3Cj(EHXkPsYkiF8OBfN7g(i zo&NMCn|iMd{iUWpO3k@{hCX!+}pQp z%q;J9k6rcszT4K$4NU%E(vW_hG4pG$FQ4>6zjN09aOTuozdNGeq_vODoVNJ88~W8X zwbV}Edi8bvqGJ|KxJA8sTff$|FZpkqc+H%CA30y$aYy)CXa63~H7zrjT^s3t#@gRc zxNFOGgZf`F=8rq>abNdz|CvqiHOwym_hJ2iwDu$EzH$G)tpCeR+Z*bpPX2cP&13eo z&#Rjp>%VtCtK<()89QL$I(I|x=_$V(aKSoHEVQ)tvH{o3AJ9I3MeT+G_pkFyVg35? z1D+^2&HKQb>o*NpQBWy8sDE$#fOiXq@A$tfzW0X#`{!$$B1@-UI&jdsaV-x$J$3!S z^Vf~9efZ%UMh%=af0Fmnx*JvwytiQTi;qp6cHY28=HD3j$+&4t2fj4__Sh5UH=a6h z)7X0|pJF#YHSnM7<~INA?dg366^#wGw=A9>9(3B+2mQaOn|@%>*n%HTcxLoXw-360 z{!eB;TXfTwLG=YK4bN@7dHkTC7ChImD01_vL9eV^GIQ~`TfRByzsJ5Z;RSZfPX~QA z|F`}n%WicKcF%9!^x~9T?;8B|`M+y;sp!_f4!)>hlYiOL+r|!_RIvHQS0>)}!r(g# z-t+&u95G>W=k~-Fac@!)>Ru{EprE9D&zW6zz3cIY52JH_ zT{g4r$=Z(|o3p#D!PQc^HGE%XS;Y19j*n;GcWc>GZO^rQGUdK!%l@-^apktr_q|{C zYW0#AKOK61|FYMrU#k3!-G6!6pW0q&`0VZb8_Pa${btiYpS%CpWjkEI^=_Ya|0iV! z+w{tgs=88N4_9ko2dlf(*AKUj9eQ1z&)@c1<>#~O7Wsx%zaj0csN3utzTgj=c5a#L z@Qte8)UfNpxx;*yyWaBeu9$nJZ&LN2UfliG+ju0Q*~m@)Sm-z{zLH0*KDecgAr z>#yy5o|xO=n^(QXySIE^AKwDk-vWD=%{$lk!|D%ezZ^dAO5cwcd|3PC@_G08epbD8 z!oG@m5Bq-E_DSWw=jOfWd%?AB$NmBHe(!tP_1TO2L-V%!UR|(#!v0U|CEsdSNBe>C z^@DutU7t@lu(-a`_xlAqV+WP`YTui#-QI(f>aX#=?fPQE!RPAl@V(o%r{Q2neS@#P zdT;Zg;q?#s{;}Z8mP51aTYMi^?`uA^w0^1Y)3*IH4{fdgt*^s%pfWz7{x#oD*MS}J z(e-cncDEgDj^A4Uo^P+~kUt)&|IoM3b*Lr2xc)QW{Uev6Z~dVY+ViqAw-K-ruo18kuo18kuo18kuo18kuo18k zuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18k zuo18kuo18kuo3v*hk#@j*>qjx4rM(pmu1K~g->DynR1Jt>1M|7Dr}`|rIWWpH11}> zY^fFBa=Xg!aOIX=UAg)4N4z%(KPx`Vz}Jdr$uq;5`bQhK^2z5OZuXgGQ&|6s7AB3K0{nt^&*u4Il*(SX zdrp2aV^6)nSl1ezrNCJHgcGa!?cKoTB-Y==q>D0lUE;1Zx{{x8NaAeB3uje)O+s5S zF}c69T72(?uG$gWNoOq$EvYN{zW^qu+&>U!P7nMX)>&tUofYjYUJ+vr6*B9gyZ~-5 JOCy*2{{YGM@!bFb diff --git a/FPGA/VNA/top.vhd b/FPGA/VNA/top.vhd index 70309ce..10c7c96 100644 --- a/FPGA/VNA/top.vhd +++ b/FPGA/VNA/top.vhd @@ -152,6 +152,9 @@ architecture Behavioral of top is PORT1_ACTIVE : out STD_LOGIC; PORT2_ACTIVE : out STD_LOGIC; SOURCE_CE : out STD_LOGIC; + + ADC_SEL : out STD_LOGIC_VECTOR(2 downto 0); + RESULT_INDEX : out STD_LOGIC_VECTOR (15 downto 0); DEBUG_STATUS : out STD_LOGIC_VECTOR (10 downto 0) ); @@ -284,7 +287,16 @@ architecture Behavioral of top is DFT_OUTPUT : in STD_LOGIC_VECTOR (191 downto 0); DFT_NEXT_OUTPUT : out STD_LOGIC; DFT_ENABLE : out STD_LOGIC; - DEBUG_STATUS : in STD_LOGIC_VECTOR (10 downto 0) + DEBUG_STATUS : in STD_LOGIC_VECTOR (10 downto 0); + + ADC_PRESCALER_ALT1 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT1 : out STD_LOGIC_VECTOR(11 downto 0); + ADC_PRESCALER_ALT2 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT2 : out STD_LOGIC_VECTOR(11 downto 0); + ADC_PRESCALER_ALT3 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT3 : out STD_LOGIC_VECTOR(11 downto 0); + ADC_PRESCALER_ALT4 : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC_ALT4 : out STD_LOGIC_VECTOR(11 downto 0) ); END COMPONENT; @@ -376,6 +388,17 @@ architecture Behavioral of top is signal sampling_prescaler : std_logic_vector(7 downto 0); signal sampling_phaseinc : std_logic_vector(11 downto 0); + signal ADC_PRESCALER : STD_LOGIC_VECTOR(7 downto 0); + signal ADC_PHASEINC : STD_LOGIC_VECTOR(11 downto 0); + signal ADC_PRESCALER_ALT1 : STD_LOGIC_VECTOR(7 downto 0); + signal ADC_PHASEINC_ALT1 : STD_LOGIC_VECTOR(11 downto 0); + signal ADC_PRESCALER_ALT2 : STD_LOGIC_VECTOR(7 downto 0); + signal ADC_PHASEINC_ALT2 : STD_LOGIC_VECTOR(11 downto 0); + signal ADC_PRESCALER_ALT3 : STD_LOGIC_VECTOR(7 downto 0); + signal ADC_PHASEINC_ALT3 : STD_LOGIC_VECTOR(11 downto 0); + signal ADC_PRESCALER_ALT4 : STD_LOGIC_VECTOR(7 downto 0); + signal ADC_PHASEINC_ALT4 : STD_LOGIC_VECTOR(11 downto 0); + -- Sweep signals signal sweep_points : std_logic_vector(12 downto 0); signal sweep_stages : STD_LOGIC_VECTOR (2 downto 0); @@ -405,6 +428,8 @@ architecture Behavioral of top is signal sweep_trigger_in : std_logic; signal sweep_trigger_out : std_logic; + signal sweep_adc_sel : std_logic_vector(2 downto 0); + -- Configuration signals signal settling_time : std_logic_vector(15 downto 0); signal def_reg_4 : std_logic_vector(31 downto 0); @@ -727,6 +752,7 @@ begin PORT1_ACTIVE => sweep_excite_port1, PORT2_ACTIVE => sweep_excite_port2, SOURCE_CE => sweep_source_CE, + ADC_SEL => sweep_adc_sel, DEBUG_STATUS => debug, RESULT_INDEX => sampling_result(303 downto 288) ); @@ -740,6 +766,18 @@ begin ATTENUATION <= sweep_attenuator when HW_overwrite_enabled = '0' else HW_overwrite_data(14 downto 8); + -- ADC sample rate mapping + sampling_prescaler <= ADC_PRESCALER when sweep_adc_sel(2) = '0' else + ADC_PRESCALER_ALT1 when sweep_adc_sel(1 downto 0) = "00" else + ADC_PRESCALER_ALT2 when sweep_adc_sel(1 downto 0) = "01" else + ADC_PRESCALER_ALT3 when sweep_adc_sel(1 downto 0) = "10" else + ADC_PRESCALER_ALT4; + sampling_phaseinc <= ADC_PHASEINC when sweep_adc_sel(2) = '0' else + ADC_PHASEINC_ALT1 when sweep_adc_sel(1 downto 0) = "00" else + ADC_PHASEINC_ALT2 when sweep_adc_sel(1 downto 0) = "01" else + ADC_PHASEINC_ALT3 when sweep_adc_sel(1 downto 0) = "10" else + ADC_PHASEINC_ALT4; + -- PLL/SPI mux -- only select FPGA SPI slave when both AUX1 and AUX2 are low fpga_select <= nss_sync when aux1_sync = '0' and aux2_sync = '0' else '1'; @@ -794,8 +832,8 @@ begin PORTSWITCH_EN => portswitch_en, LEDS => user_leds, WINDOW_SETTING => sampling_window, - ADC_PRESCALER => sampling_prescaler, - ADC_PHASEINC => sampling_phaseinc, + ADC_PRESCALER => ADC_PRESCALER, + ADC_PHASEINC => ADC_PHASEINC, INTERRUPT_ASSERTED => intr, RESET_MINMAX => adc_reset_minmax, SWEEP_HALTED => sweep_halted, @@ -813,7 +851,15 @@ begin DFT_OUTPUT => dft_output, DFT_NEXT_OUTPUT => dft_next_output, DFT_ENABLE => dft_enable, - DEBUG_STATUS => debug + DEBUG_STATUS => debug, + ADC_PRESCALER_ALT1 => ADC_PRESCALER_ALT1, + ADC_PHASEINC_ALT1 => ADC_PHASEINC_ALT1, + ADC_PRESCALER_ALT2 => ADC_PRESCALER_ALT2, + ADC_PHASEINC_ALT2 => ADC_PHASEINC_ALT2, + ADC_PRESCALER_ALT3 => ADC_PRESCALER_ALT3, + ADC_PHASEINC_ALT3 => ADC_PHASEINC_ALT3, + ADC_PRESCALER_ALT4 => ADC_PRESCALER_ALT4, + ADC_PHASEINC_ALT4 => ADC_PHASEINC_ALT4 ); dft_reset <= not dft_enable; diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp index 7f81664..9cd7f74 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp @@ -199,7 +199,7 @@ void FPGA::WriteMAX2871Default(uint32_t *DefaultRegs) { } void FPGA::WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceRegs, uint32_t *LORegs, - uint8_t attenuation, uint64_t frequency, SettlingTime settling, Samples samples, bool halt, LowpassFilter filter) { + uint8_t attenuation, uint64_t frequency, SettlingTime settling, ADCSamplerate rate, bool halt, LowpassFilter filter) { uint16_t send[7]; // select which point this sweep config is for send[0] = pointnum & 0x1FFF; @@ -223,7 +223,7 @@ void FPGA::WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceReg send[1] |= 0x8000; } send[1] |= (int) settling << 13; - send[1] |= (int) samples << 10; + send[1] |= (int) rate << 10; if(filter == LowpassFilter::Auto) { // Select source LP filter if (frequency >= 3500000000) { diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp index db9809c..133440e 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp @@ -29,6 +29,14 @@ enum class Reg { MAX2871Def4MSB = 0x0F, DFTFirstBin = 0x12, DFTFreqSpacing = 0x13, + ADCPrescalerAlt1 = 0x18, + PhaseIncrementAlt1 = 0x19, + ADCPrescalerAlt2 = 0x1A, + PhaseIncrementAlt2 = 0x1B, + ADCPrescalerAlt3 = 0x1C, + PhaseIncrementAlt3 = 0x1D, + ADCPrescalerAlt4 = 0x1E, + PhaseIncrementAlt4 = 0x1F, }; using SamplingResult = struct _samplingresult { @@ -89,15 +97,12 @@ enum class SettlingTime { us540 = 0x03, }; -enum class Samples { - SPPRegister = 0x00, - S96 = 0x01, - S304 = 0x02, - S912 = 0x03, - S3040 = 0x04, - S9136 = 0x05, - S30464 = 0x06, - S91392 = 0x07, +enum class ADCSamplerate { + Default = 0x00, + Alt1 = 0x04, + Alt2 = 0x05, + Alt3 = 0x06, + Alt4 = 0x07, }; enum class Window { @@ -124,7 +129,7 @@ void DisableInterrupt(Interrupt i); void DisableAllInterrupts(); void WriteMAX2871Default(uint32_t *DefaultRegs); void WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceRegs, uint32_t *LORegs, - uint8_t attenuation, uint64_t frequency, SettlingTime settling, Samples samples, bool halt = false, LowpassFilter filter = LowpassFilter::Auto); + uint8_t attenuation, uint64_t frequency, SettlingTime settling, ADCSamplerate rate, bool halt = false, LowpassFilter filter = LowpassFilter::Auto); using ReadCallback = void(*)(const SamplingResult &result); bool InitiateSampleRead(ReadCallback cb); void SetupDFT(uint32_t f_firstBin, uint32_t f_binSpacing); diff --git a/Software/VNA_embedded/Application/Manual.cpp b/Software/VNA_embedded/Application/Manual.cpp index 7ea11df..8376f76 100644 --- a/Software/VNA_embedded/Application/Manual.cpp +++ b/Software/VNA_embedded/Application/Manual.cpp @@ -55,7 +55,7 @@ void Manual::Setup(Protocol::ManualControl m) { // Configure single sweep point FPGA::WriteSweepConfig(0, !m.V1.SourceHighband, Source.GetRegisters(), LO1.GetRegisters(), m.V1.attenuator, 0, FPGA::SettlingTime::us60, - FPGA::Samples::SPPRegister, 0, + FPGA::ADCSamplerate::Default, 0, (FPGA::LowpassFilter) m.V1.SourceHighLowpass); FPGA::SetWindow((FPGA::Window) m.V1.WindowType); diff --git a/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp b/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp index 640357d..7a53287 100644 --- a/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp +++ b/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp @@ -175,7 +175,7 @@ static void StartNextSample() { // Configure the sampling in the FPGA FPGA::WriteSweepConfig(0, trackingLowband, Source.GetRegisters(), LO1.GetRegisters(), attenuator, - trackingFreq, FPGA::SettlingTime::us60, FPGA::Samples::SPPRegister, 0, + trackingFreq, FPGA::SettlingTime::us60, FPGA::ADCSamplerate::Default, 0, FPGA::LowpassFilter::Auto); if(firstSample && (signalIDstep == 0)) { diff --git a/Software/VNA_embedded/Application/VNA.cpp b/Software/VNA_embedded/Application/VNA.cpp index b0d7836..f28db5c 100644 --- a/Software/VNA_embedded/Application/VNA.cpp +++ b/Software/VNA_embedded/Application/VNA.cpp @@ -15,6 +15,7 @@ #include "usb.h" #include "Trigger.hpp" #include +#include #define LOG_LEVEL LOG_LEVEL_INFO #define LOG_MODULE "VNA" @@ -51,6 +52,8 @@ static constexpr uint32_t reservedUSBbuffer = maxPointsBetweenHalts * (sizeof(Pr using namespace HWHAL; +static constexpr uint8_t alternativePrescalers[] = {112, 113, 114, 115}; + static uint64_t getPointFrequency(uint16_t pointNum) { if(!settings.logSweep) { return settings.f_start + (settings.f_stop - settings.f_start) * pointNum / (settings.points - 1); @@ -70,51 +73,220 @@ static uint64_t getPointFrequency(uint16_t pointNum) { } } -static void setPLLFrequencies(uint64_t f) { - if(f > HW::Info.limits_maxFreq) { - Source.SetFrequency(f / sourceHarmonic); - LO1.SetFrequency((f + HW::getIF1()) / LOHarmonic); - } else { - if(f >= HW::BandSwitchFrequency) { - Source.SetFrequency(f); +static uint32_t closestLOAlias(uint64_t LO1, uint64_t LO2, uint32_t IFBW) { + constexpr uint64_t max_LO_harmonic = 2000000000; + constexpr uint32_t max_ADC_alias = 5000000; + + uint32_t closestAlias = std::numeric_limits::max(); + + for(int64_t lo1 = LO1; lo1 <= (int64_t) max_LO_harmonic; lo1 += LO1) { + // figure out which 2.LO harmonics we have to check + uint64_t lo2_min = lo1 - max_ADC_alias; + uint64_t lo2_max = lo1 + max_ADC_alias; + + uint16_t lo2_min_harm = ((lo2_min + LO2 - 1) / LO2); + uint16_t lo2_max_harm = lo2_max / LO2; + + if(lo2_max_harm * LO2 > max_LO_harmonic) { + lo2_max_harm = max_LO_harmonic / LO2; + } + + if(lo2_min_harm > lo2_max_harm) { + // no aliasing possible, skip 2.LO loop + continue; + } + + for(int64_t lo2 = LO2 * lo2_min_harm; lo2 <= (int64_t) LO2 * lo2_max_harm; lo2 += LO2) { + uint32_t mixing = llabs(lo1 - lo2); + if(mixing > max_ADC_alias) { + continue; + } + int32_t alias = Util::Alias(mixing, HW::getADCRate()); + uint32_t alias_dist = labs((int32_t) HW::getIF2() - alias); + if(alias_dist < closestAlias) { + closestAlias = alias_dist; + } +// if(abs(HW::getIF2() - alias) <= IFBW*3) { +// // we do have LO mixing products aliasing into the 2.IF +// return false; +// } } - LO1.SetFrequency(f + HW::getIF1()); } + return closestAlias; } -static bool needs2LOshift(uint64_t f, uint32_t current2LO, uint32_t IFBW, uint32_t *new2LO) { - // Check if 2.LO needs to be shifted - uint64_t actualSource, actual1LO; - actualSource = Source.GetActualFrequency(); - actual1LO = LO1.GetActualFrequency(); - if(f > HW::Info.limits_maxFreq) { - actualSource *= sourceHarmonic; - actual1LO *= LOHarmonic; - } else if(f < HW::BandSwitchFrequency) { - // can use the lowband PLL with high frequency resolution, assume perfect frequency match +static bool noLOAliasing(uint64_t LO1, uint64_t LO2, uint32_t IFBW) { + constexpr uint64_t max_LO_harmonic = 2000000000; + constexpr uint32_t max_ADC_alias = 5000000; + + for(int64_t lo1 = LO1; lo1 <= (int64_t) max_LO_harmonic; lo1 += LO1) { + // figure out which 2.LO harmonics we have to check + uint64_t lo2_min = lo1 - max_ADC_alias; + uint64_t lo2_max = lo1 + max_ADC_alias; + + uint16_t lo2_min_harm = ((lo2_min + LO2 - 1) / LO2); + uint16_t lo2_max_harm = lo2_max / LO2; + + if(lo2_max_harm * LO2 > max_LO_harmonic) { + lo2_max_harm = max_LO_harmonic / LO2; + } + + if(lo2_min_harm > lo2_max_harm) { + // no aliasing possible, skip 2.LO loop + continue; + } + + for(int64_t lo2 = LO2 * lo2_min_harm; lo2 <= (int64_t) LO2 * lo2_max_harm; lo2 += LO2) { + uint32_t mixing = llabs(lo1 - lo2); + if(mixing > max_ADC_alias) { + continue; + } + int32_t alias = Util::Alias(mixing, HW::getADCRate()); + if(abs(HW::getIF2() - alias) <= IFBW*3) { + // we do have LO mixing products aliasing into the 2.IF + return false; + } + } + } + // all good, no aliasing + return true; +} + +static bool setPLLFrequencies(uint64_t f, uint32_t current2LO, uint32_t IFBW, uint32_t *new2LO) { + const std::array IF_shifts = { 0, IFBW * 2, IFBW * 3, + IFBW * 5, IFBW * 7, IFBW * 7 / 10, IFBW * 11 / 10, IFBW * 13 + / 10, IFBW * 17 / 10, IFBW * 19 / 10, IFBW, IFBW * 23 / 10, + IFBW * 29 / 10, IFBW * 31 / 10, IFBW * 37 / 10, IFBW * 41 / 10, IFBW + * 43 / 10, IFBW * 47 / 10, IFBW * 53 / 10, IFBW * 59 / 10, + IFBW * 61 / 10 }; + + uint64_t actualSource; + // set the source, this will never change + if (f > HW::Info.limits_maxFreq) { + Source.SetFrequency(f / sourceHarmonic); + actualSource = Source.GetActualFrequency() * sourceHarmonic; + } else if (f >= HW::BandSwitchFrequency) { + Source.SetFrequency(f); + actualSource = Source.GetActualFrequency(); + } else { + // source will be set in sweep halted interrupt actualSource = f; } + + uint8_t maxIndex = IF_shifts.size(); + if(!settings.suppressPeaks) { + maxIndex = 1; + } + + uint8_t bestIndex = 0; + uint32_t furthestAliasDistance = 0; + + for(uint8_t i = 0;i HW::Info.limits_maxFreq) { + LO1.SetFrequency((f + HW::getIF1() + shift) / LOHarmonic); + actual1LO = LO1.GetActualFrequency() * LOHarmonic; + } else { + LO1.SetFrequency(f + HW::getIF1() + shift); + actual1LO = LO1.GetActualFrequency(); + } + // adjust 2.LO if necessary + *new2LO = current2LO; + uint32_t actualFirstIF = actual1LO - actualSource; + uint32_t actualFinalIF = actualFirstIF - *new2LO; + uint32_t IFdeviation = abs(actualFinalIF - HW::getIF2()); + if(IFdeviation > IFBW / 2) { + *new2LO = actualFirstIF - HW::getIF2(); + } + +// LOG_ERR("Checking F=%lu, SRC=%lu, LO1=%lu", (uint32_t) f, (uint32_t) actualSource, (uint32_t) actual1LO); + + auto closest_alias = closestLOAlias(actual1LO, *new2LO, IFBW); + if(closest_alias > IFBW * 3) { + // no need to look further, chose this option + return true; + } else if(closest_alias > furthestAliasDistance) { + bestIndex = i; + furthestAliasDistance = closest_alias; + } + +// // check if LO mixing product aliases into the ADC +// if(noLOAliasing(actual1LO, *new2LO, IFBW)) { +// // found an IF that can be used without problems +// if(shift != 0) { +//// LOG_WARN("Shifting IF for f=%lu, LO1=%lu, LO2= %lu", (uint32_t) f, (uint32_t) actual1LO, *new2LO); +// } +// return true; +// } + } + // all available IF shifts result in aliasing in the ADC +// LOG_ERR("Failed to shift IF for f=%lu", (uint32_t) f); + // no perfect option, use best shift + auto shift = IF_shifts[bestIndex]; + // set the 1.LO + uint64_t actual1LO; + if(f > HW::Info.limits_maxFreq) { + LO1.SetFrequency((f + HW::getIF1() + shift) / LOHarmonic); + actual1LO = LO1.GetActualFrequency() * LOHarmonic; + } else { + LO1.SetFrequency(f + HW::getIF1() + shift); + actual1LO = LO1.GetActualFrequency(); + } + // adjust 2.LO if necessary + *new2LO = current2LO; uint32_t actualFirstIF = actual1LO - actualSource; - uint32_t actualFinalIF = actualFirstIF - current2LO; + uint32_t actualFinalIF = actualFirstIF - *new2LO; uint32_t IFdeviation = abs(actualFinalIF - HW::getIF2()); if(IFdeviation > IFBW / 2) { *new2LO = actualFirstIF - HW::getIF2(); - return true; - } else { - // no shift required - return false; } + return false; } +//static bool needs2LOshift(uint64_t f, uint32_t current2LO, uint32_t IFBW, uint32_t *new2LO) { +// // Check if 2.LO needs to be shifted +// uint64_t actualSource, actual1LO; +// actualSource = Source.GetActualFrequency(); +// actual1LO = LO1.GetActualFrequency(); +// if(f > HW::Info.limits_maxFreq) { +// actualSource *= sourceHarmonic; +// actual1LO *= LOHarmonic; +// } else if(f < HW::BandSwitchFrequency) { +// // can use the lowband PLL with high frequency resolution, assume perfect frequency match +// actualSource = f; +// } +// uint32_t actualFirstIF = actual1LO - actualSource; +// uint32_t actualFinalIF = actualFirstIF - current2LO; +// uint32_t IFdeviation = abs(actualFinalIF - HW::getIF2()); +// if(IFdeviation > IFBW / 2) { +// *new2LO = actualFirstIF - HW::getIF2(); +// return true; +// } else { +// // no shift required +// return false; +// } +//} + bool VNA::Setup(Protocol::SweepSettings s) { + // Abort possible active sweep first VNA::Stop(); vTaskDelay(5); data.clear(); HW::SetMode(HW::Mode::VNA); - // Abort possible active sweep first FPGA::SetMode(FPGA::Mode::FPGA); + // Configure the ADC prescalers FPGA::WriteRegister(FPGA::Reg::ADCPrescaler, HW::getADCPrescaler()); FPGA::WriteRegister(FPGA::Reg::PhaseIncrement, HW::getDFTPhaseInc()); + FPGA::WriteRegister(FPGA::Reg::ADCPrescalerAlt1, alternativePrescalers[0]); + FPGA::WriteRegister(FPGA::Reg::PhaseIncrementAlt1, alternativePrescalers[0]*10); + FPGA::WriteRegister(FPGA::Reg::ADCPrescalerAlt2, alternativePrescalers[1]); + FPGA::WriteRegister(FPGA::Reg::PhaseIncrementAlt2, alternativePrescalers[1]*10); + FPGA::WriteRegister(FPGA::Reg::ADCPrescalerAlt3, alternativePrescalers[2]); + FPGA::WriteRegister(FPGA::Reg::PhaseIncrementAlt3, alternativePrescalers[2]*10); + FPGA::WriteRegister(FPGA::Reg::ADCPrescalerAlt4, alternativePrescalers[3]); + FPGA::WriteRegister(FPGA::Reg::PhaseIncrementAlt4, alternativePrescalers[3]*10); if(settings.points > FPGA::MaxPoints) { settings.points = FPGA::MaxPoints; } @@ -192,12 +364,13 @@ bool VNA::Setup(Protocol::SweepSettings s) { } // SetFrequency only manipulates the register content in RAM, no SPI communication is done. // No mode-switch of FPGA necessary here. - setPLLFrequencies(freq); - if(s.suppressPeaks) { - if(needs2LOshift(freq, last_LO2, actualBandwidth, &last_LO2)) { - needs_halt = true; - } + uint32_t new2LO; + setPLLFrequencies(freq, last_LO2, actualBandwidth, &new2LO); + if(new2LO != last_LO2 && s.suppressPeaks) { + last_LO2 = new2LO; + needs_halt = true; } + if (last_lowband && !lowband) { // additional halt before first highband point to enable highband source needs_halt = true; @@ -233,7 +406,7 @@ bool VNA::Setup(Protocol::SweepSettings s) { FPGA::WriteSweepConfig(i, lowband, Source.GetRegisters(), LO1.GetRegisters(), attenuator, freq, FPGA::SettlingTime::us60, - FPGA::Samples::SPPRegister, needs_halt); + FPGA::ADCSamplerate::Default, needs_halt); last_lowband = lowband; } // revert clk configuration to previous value (might have been changed in sweep calculation) @@ -261,6 +434,7 @@ bool VNA::Setup(Protocol::SweepSettings s) { FPGA::EnableInterrupt(FPGA::Interrupt::NewData); FPGA::EnableInterrupt(FPGA::Interrupt::SweepHalted); // Start the sweep if not configured for standby + last_LO2 = HW::getIF1() - HW::getIF2(); firstPoint = true; if (settings.standby) { waitingInStandby = true; @@ -426,8 +600,10 @@ void VNA::SweepHalted() { if(settings.suppressPeaks) { // does not actually change PLL settings, just calculates the register values and // is required to determine the need for a 2.LO shift - setPLLFrequencies(frequency); - if(needs2LOshift(frequency, last_LO2, actualBandwidth, &last_LO2)) { + uint32_t new2LO; + setPLLFrequencies(frequency, last_LO2, actualBandwidth, &new2LO); + if(new2LO != last_LO2) { + last_LO2 = new2LO; Si5351.SetCLK(SiChannel::Port1LO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.SetCLK(SiChannel::Port2LO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.SetCLK(SiChannel::RefLO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2);