From 2d3204f908634aec1663c52bd2a05d180db6c852 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Jan=20K=C3=A4berich?= Date: Mon, 31 May 2021 22:37:51 +0200 Subject: [PATCH] Bugfixes autogain --- FPGA/VNA/Sampling.vhd | 40 +++++++++++----- FPGA/VNA/Test_Sampling.vhd | 32 ++++++++++--- FPGA/VNA/VNA.gise | 43 ++++++++---------- FPGA/VNA/VNA.xise | 20 ++++---- FPGA/VNA/top.bin | Bin 341436 -> 341712 bytes FPGA/VNA/top.vhd | 4 +- .../Application/Drivers/FPGA/FPGA.cpp | 2 +- Software/VNA_embedded/Application/Manual.cpp | 2 + Software/VNA_embedded/Application/VNA.cpp | 2 +- 9 files changed, 89 insertions(+), 56 deletions(-) diff --git a/FPGA/VNA/Sampling.vhd b/FPGA/VNA/Sampling.vhd index 847e05c..78f6d7f 100644 --- a/FPGA/VNA/Sampling.vhd +++ b/FPGA/VNA/Sampling.vhd @@ -116,8 +116,10 @@ END COMPONENT; signal p1_gain : std_logic_vector(3 downto 0); signal p2_gain : std_logic_vector(3 downto 0); - signal p1_max : integer range 0 to 65536; - signal p2_max : integer range 0 to 65536; + signal p1_max : integer range -16384 to 16383; + signal p2_max : integer range -16384 to 16383; + signal p1_min : integer range -16384 to 16383; + signal p2_min : integer range -16384 to 16383; signal autogain_cnt : integer range 0 to AUTOGAIN_SAMPLES + 1; signal autogain_changed : std_logic; @@ -190,6 +192,7 @@ begin case state is when Idle => sample_cnt <= 0; + autogain_cnt <= 0; DONE <= '0'; PRE_DONE <= '0'; ACTIVE <= '0'; @@ -197,11 +200,13 @@ begin phase <= (others => '0'); mult_enable <= '0'; mult_accumulate <= "0"; + -- reset peak detector for autogain + p1_max <= -16384; + p2_max <= -16384; + p1_min <= 16383; + p2_min <= 16383; if START = '1' then state <= Sampling; - -- reset peak detector for autogain - p1_max <= 0; - p2_max <= 0; samples_to_take <= to_integer(unsigned(SAMPLES & "0000")); end if; when Sampling => @@ -224,28 +229,34 @@ begin if to_integer(signed(PORT1)) > p1_max then p1_max <= to_integer(signed(PORT1)); end if; + if to_integer(signed(PORT1)) < p1_min then + p1_min <= to_integer(signed(PORT1)); + end if; if to_integer(signed(PORT2)) > p2_max then p2_max <= to_integer(signed(PORT2)); end if; + if to_integer(signed(PORT2)) < p2_min then + p2_min <= to_integer(signed(PORT2)); + end if; state <= P1Q; end if; when P1Q => if autogain_cnt = AUTOGAIN_SAMPLES then -- check signal range and adjust gain if enabled and necessary - if PORT1_AUTOGAIN = '1' and p1_max > AUTOGAIN_MAX and p1_gain /= "0000" then + if PORT1_AUTOGAIN = '1' and p1_max - p1_min > AUTOGAIN_MAX and p1_gain /= "0000" then -- signal too high, reduce gain autogain_changed <= '1'; p1_gain <= std_logic_vector(unsigned(p1_gain) - 1); - elsif PORT1_AUTOGAIN = '1' and p1_max < AUTOGAIN_MIN and p1_gain /= "1000" then + elsif PORT1_AUTOGAIN = '1' and p1_max - p1_min < AUTOGAIN_MIN and p1_gain /= "1000" then -- signal too low, increase gain autogain_changed <= '1'; p1_gain <= std_logic_vector(unsigned(p1_gain) + 1); end if; - if PORT2_AUTOGAIN = '1' and p2_max > AUTOGAIN_MAX and p2_gain /= "0000" then + if PORT2_AUTOGAIN = '1' and p2_max - p2_min > AUTOGAIN_MAX and p2_gain /= "0000" then -- signal too high, reduce gain autogain_changed <= '1'; p2_gain <= std_logic_vector(unsigned(p2_gain) - 1); - elsif PORT2_AUTOGAIN = '1' and p2_max < AUTOGAIN_MIN and p2_gain /= "1000" then + elsif PORT2_AUTOGAIN = '1' and p2_max - p2_min < AUTOGAIN_MIN and p2_gain /= "1000" then -- signal too low, increase gain autogain_changed <= '1'; p2_gain <= std_logic_vector(unsigned(p2_gain) + 1); @@ -270,9 +281,14 @@ begin state <= P2Q; if autogain_changed = '1' then -- reset autogain memory and restart sampling process - p1_max <= 0; - p2_max <= 0; - samples_to_take <= to_integer(unsigned(SAMPLES & "0000")); + p1_max <= -16384; + p2_max <= -16384; + p1_min <= 16383; + p2_min <= 16383; + sample_cnt <= 0; + autogain_cnt <= 0; + mult_accumulate <= "0"; + phase <= (others => '0'); state <= Sampling; end if; when P2Q => diff --git a/FPGA/VNA/Test_Sampling.vhd b/FPGA/VNA/Test_Sampling.vhd index b56f330..3b5c7c6 100644 --- a/FPGA/VNA/Test_Sampling.vhd +++ b/FPGA/VNA/Test_Sampling.vhd @@ -40,7 +40,10 @@ ARCHITECTURE behavior OF Test_Sampling IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Sampling - Generic(CLK_CYCLES_PRE_DONE : integer); + Generic(CLK_CYCLES_PRE_DONE : integer; + AUTOGAIN_SAMPLES : integer; + AUTOGAIN_MAX : integer; + AUTOGAIN_MIN : integer); PORT( CLK : IN std_logic; RESET : IN std_logic; @@ -55,13 +58,20 @@ ARCHITECTURE behavior OF Test_Sampling IS ADC_START : OUT std_logic; DONE : OUT std_logic; PRE_DONE : OUT std_logic; + USEDGAIN : out STD_LOGIC_VECTOR (15 downto 0); PORT1_I : OUT std_logic_vector(47 downto 0); PORT1_Q : OUT std_logic_vector(47 downto 0); PORT2_I : OUT std_logic_vector(47 downto 0); PORT2_Q : OUT std_logic_vector(47 downto 0); REF_I : OUT std_logic_vector(47 downto 0); REF_Q : OUT std_logic_vector(47 downto 0); - ACTIVE : OUT std_logic + ACTIVE : OUT std_logic; + PORT1_GAIN : out STD_LOGIC_VECTOR (3 downto 0); + PORT1_GAIN_READY : in STD_LOGIC; + PORT1_AUTOGAIN : in STD_LOGIC; + PORT2_GAIN : out STD_LOGIC_VECTOR (3 downto 0); + PORT2_GAIN_READY : in STD_LOGIC; + PORT2_AUTOGAIN : in STD_LOGIC ); END COMPONENT; @@ -95,7 +105,10 @@ BEGIN -- Instantiate the Unit Under Test (UUT) uut: Sampling - Generic MAP(CLK_CYCLES_PRE_DONE => 0) + Generic MAP(CLK_CYCLES_PRE_DONE => 0, + AUTOGAIN_SAMPLES => 16, + AUTOGAIN_MIN => 2000, + AUTOGAIN_MAX => 26000) PORT MAP ( CLK => CLK, RESET => RESET, @@ -110,13 +123,20 @@ BEGIN ADC_START => ADC_START, DONE => DONE, PRE_DONE => PRE_DONE, + USEDGAIN => open, PORT1_I => PORT1_I, PORT1_Q => PORT1_Q, PORT2_I => PORT2_I, PORT2_Q => PORT2_Q, REF_I => REF_I, REF_Q => REF_Q, - ACTIVE => open + ACTIVE => open, + PORT1_GAIN => open, + PORT1_GAIN_READY => '1', + PORT1_AUTOGAIN => '1', + PORT2_GAIN => open, + PORT2_GAIN_READY => '1', + PORT2_AUTOGAIN => '1' ); -- Clock process definitions @@ -139,9 +159,9 @@ BEGIN wait for CLK_period*10; -- insert stimulus here - ADC_PRESCALER <= "011110000"; + ADC_PRESCALER <= "11110000"; PHASEINC <= "010001100000"; - PORT1 <= "000001111111111111"; + PORT1 <= "000000000000111111"; PORT2 <= "000011111111111111"; REF <= "000111111111111111"; SAMPLES <= "0000000000001"; diff --git a/FPGA/VNA/VNA.gise b/FPGA/VNA/VNA.gise index a014671..6c3421e 100644 --- a/FPGA/VNA/VNA.gise +++ b/FPGA/VNA/VNA.gise @@ -42,14 +42,14 @@ - - + + @@ -139,7 +139,7 @@ - + @@ -171,15 +171,15 @@ - + - + - + @@ -192,7 +192,7 @@ - + @@ -230,30 +230,27 @@ - + - - - + + - - + - - + @@ -265,7 +262,7 @@ - + @@ -294,7 +291,7 @@ - + @@ -316,7 +313,7 @@ - + @@ -325,12 +322,10 @@ - + - - @@ -341,7 +336,7 @@ - + @@ -355,7 +350,7 @@ - + @@ -409,7 +404,7 @@ - + diff --git a/FPGA/VNA/VNA.xise b/FPGA/VNA/VNA.xise index 4a9efc7..49fa10c 100644 --- a/FPGA/VNA/VNA.xise +++ b/FPGA/VNA/VNA.xise @@ -41,15 +41,15 @@ - + - + - + @@ -133,7 +133,7 @@ - + @@ -147,11 +147,11 @@ - + - + @@ -415,8 +415,8 @@ - - + + @@ -434,7 +434,7 @@ - + @@ -486,7 +486,7 @@ - + diff --git a/FPGA/VNA/top.bin b/FPGA/VNA/top.bin index e01a468ce34161d8cb3800132c032de0c3d9297d..abc96c9575c1d5bf10f90f3636a4820c92c101c2 100644 GIT binary patch literal 341712 zcmeFa53nT3Ss$2HT|HYpJIm`PhC{|!n=Nm|4IF#CWsy$EEhcLg;$-X%P5AT>$U5)L z9gJ=Rg_h$G#)n3m)32ZCC!b9}e8?7HsD~_&o&x9_3~~IaQul8D^*_7!F$JLXh}6k$~%#DL>4f)9Pb~I*nNnxIj{Hkk@ST1C1io-OGuZ+ zs<@M6wwUpRHS+;>M+mE`?oanJhM0-X?uT9I%s9^n+Bbuys!LqzhLzg?-zt43fLo(^AZ%ZXzcW2 zwxXAyxo)LOJ=M#z^Zu>OefPQYco*EA_o%dvbOeiZRd!f`)(cqF_&wi|`)+E#2eIRp zb<>P)(JuV7BinVzBxs5Ug}wI0s(gz?wm{gB;WAzjjxC^7H|XPR>>&)g zu)tpH(5E_L|GFy9jr);|vlmkCA4a1;{mE*o(ddy!s`29wk48^ES`c>pbszNs3gdZjL_ub=$8jWhXp$+pn=I^W#|^GKnLhEI0WdIM}Pr)0hLq*4uJ|s z62_RH2fb5stkDz;QIdkth!jU8|MOBOD~x z+BMZ|hpzN+S4|gg*EB73vSOK>yXsAvwp%662^W(Pj04w%$4Tj8gjQE;D7^}5I6|=l zEMb75UIlN>M}V2sU^33p?rvZ}6x+1JP)AC2?J#3z#P_UG-6*phsii6eCI{^Ur9Yl; zuO#dv1TzQFCJGCc8BKQa<|RU1AY@_fIB28p&=RKVILQUn3qj-ZJ-x)1p(05o5X7d5l68?$ z6IC6mKnDXA1^H<|Y-L&xpoiLwTGa`(smM57u-UbnwyD-paQ>8OY_@I9YK8%JBU{Z7 zYpBNBAXVrlM-Hj#w!@80ny$rM8#Y#2ZL+vHtjeHXU8SoCBDA*Z6hOoU_Tfs386}AO zxn<%c00Pen%rS2T z5q*h6rT#`YkkQIOm^E0B222h*f;qBM3LwP0%fX5Fs$+bu0@jw-=J zJ9c9|Zmp?qSk`PE_1?%;Pzn`qYETQ!v!$(Zrb-Xc4CbjCH+yj{>GNvXRhqTXb*x#Q zA~Q;Dpe8o83fetZ5TJH|W*`Y?JK=TUuT^M_SK&G%m)u;ujo>MzZX@c5U}bbe=7m@P zXUvidU|8btcXpK8+S=J!TKZjpW683cHw)%N23AIoI}PryYETHBqrvj>jT;5eAp=W~ zKl1o1{`?hx&fV5t)Y6htosK(*WH>iT&hxM-n0=xH`;R=n^!OGuFu_wF@Iy7CYl!pIg9=~ks**Brf5MC|rQ8^z`qi4SS%wKqM zqxX&8_sorFidugC>z9_Uj*`*n%jk(C^MdC)kRRAt$v&dgmDC(}oUaMw-?Xu04f0Mg*oOxH4I&W|2!MH*=f3UI7n?b{(YQ zs-~iTG*FCYCxk0Q6+*!O%P@e*)1p*SNVAW zih=C*3_A0PO1#Sjah8Vo>53_tE9pw)c!;(sAe7;!L=D`sERHLIg2V%8Ow6IF2HiSw z0Ecnmd2TX~KzzUfU{|F%k6+R33JGhx8qfKt*ex$~dtKt2AzXt%ziU=QnuTk@DSQGs zQjkSbGq&A`gDYZr5K?i)v8cvLo5eAPI}RwDbq=VtV4S8w69m;lYif?V2z0|B;8P&z zp(g>}VR0?U=P=PB&+aii5?>T za1|(MHnc5IuQgMwfY(~JVIuUVYUA?ArrMGb6 z*4s=UD#V9UojF}&svIaij9a9)cuR<;CgdDQf$7x7FiI*Cf-$<}5H-f=2K9u4Fzm*w z=n!R`NK?jx2CSBr@jmHe9K&w9s-Tvan9ZW=3A#m14{PLvuV{Yygf^_jPT}Kq-Bqh5 zDdLGHJ?E%MC^_1w*{TGW!vuXew92j_du+Ptno#1YUQ+``5l!UB6A0agX=@Y`UtXn9Wg2oK1J~ zzgpe5|HN)3|C3)^L|1AN$0GXW&q_a{8uwh!l{u69DtpVjF8#@F^q)9|W#9!87SS`M zW375opd#H?ZKWmm9bSq+?Ya9#vyS_J11AXB8?@|@mI(Q`f4H8%N^%XDh0x-9;%$DS})T}vmtDgD%!|_ z3w3?e^ZEF`d?fDTsN>LRiuku-=6u|aj5xxLOe)3Dkjz<(Ryr~W*9LF|r~(hyb0$}^ zD5ECylG}%jHfYSLituw|Xg`t6&>uMF=IjHqZJ@f%E}5VU6w4`$I|I5<=?ZHZogbnw z9F~(Cfuu=Rn1 zrv_H%*2qW@r4`mY7*0V!7=8{&GY+mq={T|yYq0v24lqx0Z7^gU3a!uFFD)eI6 z>rjmAE)5K}>P#U(imz$rM+xN&*Tqh>Ex#{2CMWL^_GH#hEx_mKvN#HU>5xZu?W*=X4zI6IIU_i+kY~M z$-lt_qK*Xi;^-+RgK>~b(fB4H&6piS9EV@+9OR2B|ZcT;%1K&Ax`nit&#U>)7tN^A6@NbW=^jfRFg zc91n2ut^jkCTnTEeou$p3>cZ8cqmx({*gsmXLEg&yi=izT!%fHI}DBc3MNvLo#S>wo&&*9GT-RR2z0T zP~9@C&Pi6G(IRYHR@2`vWGd7>J*`;~;THy|0d`2Z@c?Imbu&!b+1j92$p#Vv-hs)2 z6ot?g%HD&wIabV6AgBb!D-d4KBa?o+ToK%M(3Ws>%t#hTRx+9mb0bvC4ZLvzz;AsQdh40yZ3(7H}R5TK}a+RXSd*I zuiWg6mPS}<JJ$Z#3ozBiqN9|t&rhReY%9W+1Yui8d z_@owhJ^46rOG`@+aIKFv7>&-T*reAsc8ls$$k`Ej+z_PMz=IT$p9c4_&G5!SQROFFWAwO+2C^U9o=o^iur}bb1eo zzQgUwU3M6*Lw*noaoac}-SKWr*3@l|-psf2!k_NcUK;(%UR~c@yNA!V9;Bnp2Qc=~yq5Gvqny!N5(rz_}V44(VaxCSftuiP)$ZDmg#UAFE_ zz7ByJzX%t4`fl)77c58S_}Kt49u6>WBwwUza3=uOyKgAd=sYduJ1j$C=1!Yoj#I!{ zat1m398Uw!V7~4U^q*1`j%I2|{P0Dwg4DyoY#(BX7G5 zhKwzSY%xp00WI9Lk)r`8KWd{6ENmDB3HeM{)%qAGq#^JCEr&s5z^L$2)vEH77IBP| zCoW$e%u1!~vKZW3l*h$X0_)s0$4`rJmP(%-4ECaW?GoF3)VHeOeu@WTC-t;b~mA2dY~wa;jGq}l@4JSu1sZ!$UwChA+S3* zYtQ1LwK&nWoR3F|XE7{I(}Zb`2r*~JGFyKG6G)JZ(l`X-R9B|)Is06%PfEKWd()x> zY;Nf91LKHsJ2sheB}=hxgB9dR4H4(o#e8P2i#d8Ba6w=&moADqYEUpCWL?DMIPd4t zu^CQ|+rNjUCs?zhTZS?k%)T;ZdK8xhb)m8|;_}e#_f61@Qj#1r`@_K+Zu9u~e*G-( z`x*(ENk{<}8QZoqcBD6L9XbtF5^JSZ%Wmk@YO=8ff!Q`N&-dmM)V>@`8IU5qD3PQY zrL~|z9A=vh+ra&Tc9Rt3Th}USnXbl$ejqflExQhmp{UOIeu2|&tXb!`h$JBnip_&{wGo&qX5SiM z>LGA_BIWhl)CHn|zraf)d;#E{@4QQ=xI%eI_-4hOrvlGBGrEb{;!>yc{`afVgAXn( z?d;qO*xv4Rw((P=t*x6cOzz1WJu!O1OE`!>`V{73IY5IOyJ~RY9RA> zIB%@Iu{NI75_CA=yxj@9tWK$aiKbo5g_jjNU--JDcSm@4^J|Ul)oq66vaMxz$00U# z+#wf)f?r)yug!RlJ_JP3nP@UO=g8b4&bi_<_0j0vdChNa-F>&(uI>^4jt+NFPc)24 zaSP&~_{8I%m}HST^3z{>{(bM;+FDr|jlOxy-O*BNQUj*k+B&2PliBBS-Y38+3urL8R&y+z$)k zu>&*Vt7fKQASf!Cap;tT79aEIJib4V(yHK2vE$h4DV{AMR&BUk<8|#KIY3LT=E-p7 z)DEGT4NU+w8rN!qVc*f+rM3FI3-ot2Cb`CY#3c2o;=G$s>Yp8z*a4db#WO zQb*DVS^vI1s0ZH5lz|rw6Kk*qKTc`|m?AV4&{LRQ5ME?i`YQ8mEI5wfoa1Fzdjo9H z#4bF5R@!yXsyc!;?5-zpVu2G2oLJz*0w)$Y5({Wtn<*&3m8XwOQ=bt5uDi_zOA4;u zmEqQ0-o=D~ESipl7+450vYQ&-O(xTmi0Sy=vm8$wL1X@Q0RtM31+cIbbJAN`4oT-w z1?>kL^LWfOL2lJHOFTt5HfJe6Vr5IgI+X2OjnFQjccINB&9|x_ep#e5k`^JYBDhBY znaI#^{pe5-xigLv!x|y6A((t@#&s8O^T}$h*t9BlI&3FVUg9Jk@sPLn-Q9JalS9O3 zgPD!4VB0P!)(ow(z)$JyRLNWt-G$FRS^&rI@P~3;mT=>GT#k|R6HQc#_CfEfDApx^ z$+!Q|40Bg+ScpJfnX8gN6D+JS4(;*i9%Cu_SeBRuo&quZ6gEd_@!>{;9CH8BJ zKNzqz%xp~DK%Jt{yj?J{>7e8v9w29*1S?rKgE=t|ZigOO^=&mW9`=n^-lH{6+DFV{ z0xFF|>Trk&&W?L~NoFJj9I@W(L&xX#uCUe?yaX~eeL4*oqf@G#1LY$cdcy4m%Cr{d!Zxv2OH7@4^8q>oK z&;^|DTq4{q4fY|}!N49><%r!g*wD6>vf!V6Pll8^khhvdwa^IkwDNP3O`@@|iZ#nV z(6bu>J0t60erF8QfQ?{w^2zLG$GK7lJ8&)S;%trrJ4P>8*pTwzFj~5KrZej7%#+}E z!#(rN4py3u19lWNQ1dl-@{jy3(c{wKI7+oYnP+a^loigosqp?6(zs=IF3+I|XKtQ3 zgO{5WYp#>RM!5!~(Q#?eysVeh>tvL7TXi3FsmXF4^uCK$cGf1ixKF&TwoJXZ(9Y=e zb67``|K3tmxwxnHjyvu+Tu)g#oCaIi*&iQH11Zu$ZNS85kK~=lhtlBe#j(?6wU+i4 z)=CRw>exd*Hb@LFcyhvTIs#qB1?Nx<=9g)QI1;y#fr#fO$pWa1DPp*!SHedS-2IWP zB7=bs>Ad{LBMN^S<4BWu>(GT8IE0wB3oMV_pfrG+c94gyF^dJFjG}@s zE8ni^pe{}M;B8@;;jAW4CC1q6>7Y2{{|Geb;ZloP*#P@ddCisA*RwXyM>~Z9HhU%SAs%HBG+WU|i%rV`zp3 zDxl_D=>B*!8dL(%_#VLGgU8{cGj8^Jc&9>?-v-I1a$$cxX1L9*>|8Iisq}b3CM9Vs z^t!RwK_ZW-GdGv`jh7=B?H?q6ra5yH+aPzazwp*WKAakDpRaLG>~0D?advn5ZfSR; z8M*Ft)cKn1huwwsiL=L9N$C81-khIab2DJ>oSmKt`wJm=)Sgm*V;6M~OuBmYk$RRr z^%Mofw|}X4pXw}uAO3LXNZJiH!&l(kxku`1drO|(yj2=hvd@FqmMYJ$KJv)&`DyBr zW9+oVbJ(0qY?|H@4V(hTc@r+Ke9Ln63+}_|`h%y`fB5ZJU_I|=n=cDm-}kp4z8?L( z%5(2!+JnuP+zh>^{dqW2J&Lq=h^!QikRFSyF{~D3WZ+pG1S_tDa*Iu9UeKolwu0ihF~)fbpy_b605Nn{!AYK&Rv>o| zeyZ!}#PYSJD(O{_{0LaKv;=O8g3*`H5?QjRxlif~s%IG3VnUKUA% zjl9&P!RH+X0_}Xb7Kg@BfvgffSP)OriD2quQ4!rd3tfoQRMLS~#s?4YGsiv-Hp*U< zDP7liQ4-e{VS@-*%yS~($)R(rA&6)SM?jZuD#6{HHi)4xa40U~b9PG~58PQ;z@oI& z$Oe{q6*CJfkwZEymbnVCVcWnp0(r{whsYfJlNzDPRMbuzZnaUvBt3AVt?)yKA!9xt_{~)w%Ho24I9`kpoeydujVvc zFa%B$O|{Y1cCEe7?2&Rd81xB~Po>FW@bc>(o+loJc*e0eYy^038Q$wUFwKpiChcNF zM`|-{22APqOwE=pShEXlCdtHs*+}|BgU-6q=%XnHZ8hi*(|b@%j{5Xv<6mfKm$|*Za&t!mbpk{VBk<-E2-_U zUf;x3pfy}?w%2g4Yglh>;u-7Ka1CW|r3O8;)*o(Ku|T(ya#oNRn7sgdm51g~t@rzJ z5DYOwso~}CL#)(C*=jJ%aKCAl=j~ygU;*DkmQahUAgJE!uCJx@0n0~kC^ zLqExVABPW)00XD{RiJn$Vt3%zb5b09g~6WT(X)>}y0z2U=`7*vFFT{1&Pr#5Pa)m7 zdUe!!?m0ej`$+xj)%t6z?{M3TaJv^K;d%7z*|WI@c=T5^P|G)#my={$oj#4-6VTw? zIn_D+oqHA;?wL~<`2>1)v-{{PU-`fTJJ_>>58=QdTQJE|Cw)Y|HjQSva`Ear_9}Ou z3gFrEPRD6*@!}5m?5w~kG)PnA3k}r8&Ym@x)>LafjRZ!c0s0Y7;L94Mftc>58^08kP!Go(&}`tsEKapudJOIfG^?hfz^wvz(VXVUcC)k*Au;+zoANyzW(J|B@h&9bz#vT~?5 zLzQ{%qQUbhJ*LEW&eDMQQXYQ&)x1}h;`SdhU8|IS_wY&FCosJ zfVKAwvqj9MF@`u+$oFMzjh-qh$=Ah=5nh*c{IKKpU2Kh>o6_KnAF&_57RX^F?~m*J zJTt@l%XZx@ay$z0P2;$Q*P(RmmnrOVl)3pcvWC#gkS8A%OHO4wEv{h&syI(i=;Xyt zp!XW}olLs~%q5jIN3C*H<<76;ndM?^w+C3%r|d2=cBAc*Y=Y#MPNi^qf{5sD8k%YL zLt-!p7YV)%Aa{<{qzZ(P!F4s{+CgTB?$ePTN^H?W1bcYL7DjN!;K$`TbIKv`f#dL9 zj#KZCsuy{&wVNV#;6N5G`nPG*FCyC&jVV6##2%F7>-R zilyz7g5y8|c`hTR5Y`||IxcWfemE%rk<%urEKKPK741OEq0lTh9?^xV3Zm!Uqc5$i zp*C^^+J)5L-T19x9EOj<33X?$){o#g#pf$bBWMp{RB2W?r)f zKJv%Q%`t0d&hGW}`;vArW>$TW#&L>mFdJwCT})}SL559Q{RrV(1{&4h#cUoe02?2| z4863E7M9%t1$YsNRKrSUsD6vOM=dxX;o}G1KSNg?ZnoNJe+D|F$emdm9Jd0T2Ei~1 zf)KkP20?#)U{YFSGfZ!V0v9<~)=FJ#%X^%!sZ-^g`Do_Odo4wy@$9qY9gVa)pZzkH zVo#kKVd-tJ=?~9WY5*$ND;)x4(m19dEip5z;#Lk+N>z?9WO7*v{p#-Y9Pd5Dz;3qm zjED8P3Act(Xu=u>XgVn!xrs7Qhg292=y2?xgUi&%#78R`1BuVz8)G_1gn}dMjoec* zjWT?OAi$`c@8>x@RAx~XVSKET6n^K!#x_iZ)^;3Fi|>cSx2h1I*$aSYYA3tOVSwE$ z;B>%etJ|q8=4S1@{`rg-t4GXIl@0C^+_kEV?;1+yQCR^Fd?hIDG5IOT=JDK)p+qrn zpuaCAayjE(8o>f6D~fCk?3ud7RZA|-j~cgVWP@BYq6kzZLDu*h^9CbXytLGy6$khA%Mv*blQVMrqoaAqe^X=ca zE{RvBhLvq#f}qx44Y)tuOT_*gJTR20Mmh)rg{tsi$Q_im;_-X-iw@^f1g0Bw*Hdi6 zhaU{qhh9*`2HfjqrV;cv)=E6GKcZIPbHeABTKK|LqC)KJu$7$H2=H-b+l_kbYxz6n zm?&yB5~C&x;-xM2d3GHKw1NohW^8b4Eo_VTR2$g_Zx&$-hQWOk91fDTHUdWH(S3V% zDg|s0yP~FRrjMOJFtLLS#WeU{A|4iLr0KdxtNdxQg0CR#F;FzLD*yF-7ViCmHV1#b zVAxmSBJTstf$%ZT2RohDyarEz=fJ1Yvzyx^?|b~geSTYJUh43%@G-8VjccHGvYV>I zr_$-qOS^acJPjUsEH{`(pB_@nph*zZiKlN#V_ zK_3gaOp-0N@a9>7Q**4`aagT@3ePjoL%D0WH$zkgU9(m-$ zAAaR4pQ+!t@sW>IX$(Jl_UhF~A5EX}c)aOwW$E~Iynrli_Z5rGL$KqNy z&9DGtLFZv`931Sylgbn+JVbhz^dPqP^=i=+hO;zCb0jLj&Y}b7WyD6$;i#aK)U&gO zivcNjuqV5>9^0F$eMlICzy@RSnGrtg-A7git-PCO>0Tw>1%Cvpn{RM^si(p`Q{r^q zD9MrKvYE#7=cogCtU7Otyc)5>2xK@dtX-gH5DVJwfmFy&?@UUp1;f(dBGgR9FX6!6%*mSN*RK0{I<|{AEVJW+A4}{{bXgnRhH26wMIJba2Mq{G7!BC1xZ+xOs2Q%E zf!jZ7i0U4$@{83$GHhq8SDm4N@Hbdap?7oo#u$Cm*=3BQCLB>NVN*lG0!W6qZ-s&MpGNAHf&>orT^V;qB3kzgwl$g>OJc2UA&CP^25Ju$-& z{whvK&}?XRNl$0dBcv=OfFqfbkk{%iDsbEf4dfXCJV($TChOE7<)j+l6eh*d5qI=P zg&v6Hl4B?mra6Rdj$=^MI0(aTx~fbW&xowVC+SoiV9FGe92x10z@i?Vs02l)`F*_2 z7NK^buaZ8_feq$W2|0(b&2xrBTeqvIO^v27tPK&ztrWx|-CA8Q=s=lqdZesDM=dx) zo9J;4NHBg3Gfx3!hLJMRKm|JJ4uheog_(f{sC^aU>FXJ>v+)l5C%?9cuGC_V-EzPd z?9cMlD{#;CJWxCpp1tK=i|C6bW zIB(AccTt;3^2o8I+GE9+*cg3`yr&3y`+>k5DAiYLBFnpsZX6aKdl_mNjj>0xi<}Y_ zYxi*vPLA0YNiTX$!RG>OR2(H%p_Em{&JS~3s(6wIxE8jG5Rb#dxMq8o$4i>tJNprE zdQDT21&i33lcXcaX}$>mCULih$LR=^$idaLpUZjdq(9jfs&rq%Dy|G?2$tuUz7RBA z8C)#NR=7*Yl)*!WEYkCM@nC&Zbu%pe1la(Cs6l$`HCe}m7Bz!+LRlCC$5De`BoG@z z6D&JzSe%ILacHne0lfBURrPAajR@zdsGBY1-#dfZBWs+jcd^zxG%4Oe-3zikCObkl z+uNvPAV0Kh1y;km)iCVg4Qw`UA?pZA-0JL+->|*9o`!XrKS)z|mb&F?VCLe$`?pqu z&d!z5`STB5diL3yH+TDj)bVKr4b<}TLzhmSIfL6mw;qE|N5#|?Tl*YxA}Q!o~cHX_6YChj_Q7|^}Z>Qp(+}#Hl`&A;adq^=_xq5YZ#`@zPk}hW9 zE&GMArOur@HVts&#Vpi-+rw|(eDu+UjQeH?_n1Q7PL&pG=i)v|?3>PO|%(093V<*kK18{s9XU8Y{B`o>St-wE3 z+Cw3E6mV$Cg`|B3c~qE7E3SNB0Sf0s zK67lUE*j9j_+!qmygBp2y*&G_%|*4eZ-FNXPAqU@ffEaySm4A0Cl)xdz=;Kp)B?AB zymsvKgd;W2iLet39IFLp-nrn*&cE8>2&~Te_=`Iy=gIEHzb|nFraTf6n@1w$M97P4 z0gf1ao8~*q#vz)(IF7}mjBH%Y!dQEPV{r}>2~MJivcOBp-t|M3WNzZIrmg3)y%Y%E z0=qqs@lw$9M2F+BKy01VgTFguo>p$#V}+XMRBR8+`$+!!s|w?xg99gFYW#NeOBRP# z^+dpl1x_q*Vu2G2R4ssOhh^ORRodag^CXVr<l_}VbTrp#dV&MDriJ_%mY1-@KVE@Tp{n?pzYTOde-Qw~oI&tK0FHw#Sz2@MBDx!x-H0zKnm2Nxl${YOQ2Pl?NuHC~ znH5AoSM&9qR-XS#=OmtkcGJJ=l-y4UClb^&>(|wLh_Hj)*EoMR>(UBb?a#b7Jt1){ zTG*hf${0r;+2tf<%bqYRH#^JlhAgkAaR)>S)L#q0OlQWA%kBztoxR8lTt zPr=Z0Of}>D+{Mf9n{hMJsU{+&vmfAzgvDE+I=|k_BLs6Fp;*F%JRxm?F(u8E z0}+eC-z|m3h1q?KfUm(o!GmTH264a>hJ0;#u{h76S%rM}-2!l#vXTjG5(FQI1XN`h zH1gT~9<3@eoU^Bv09IS1aiL0_4FIorcMSX2U@s|rZq6T%;oaZO4V)HgXa}C6_6ojT zE#PNe`OZk6_V<2>kz=ynQ0pp2Ep&n3XJ_1fn6^MOPrjzqb-rhJ|KL(CPWJ;p`q|$k z*Q;Ls*Lw6n{R_YO3-tfdpZ)5O(0}gn?N`$8{_}tMH|QTdyngfIt0?tbe*16!5A?sO z|4on4|I9c1+5b-e&D*t<{-6J&KX{V<{~zK1{QJKk*Zlg||GHuM-t~%iy_){-ZhqiD z(7*6ASGx3n?mzz60lvv~rgNrv`5L9ng5RwF=?@V9Z@;bov-CguLx285^#9p!{NcUy zpT6?_V*RiA(Z6~lmXZ$}5I7sY~E91b|p288aS%rSxsNkAOpsxLH?p>*m$)k7AW9}M+~ zm;-T6<%|08YAWICLAHS9n@E_NhrtoyAb5#>0Fv{!9o4yfwt=clTWOG)VS+hndZ z&gXd45DyoMY5A#0-uAIKDcIum1Hqmp)LAO)4Wmghv{u;*&TFT-geWGiQ%VOPRW) zaON@wmG^mM5yQ5loq`=m7P#iQn7%5)YoZgL`SH)wV-&bo=d}YW5Cp+Jxfh6 zQf~hm$f%$@>XaKg{rRmp0!z|XbqTdKJPFg+GP!7rKxP>c>Fky<2rzQ!nvP6OYs5m$ zIbjI&E?{$7l;#1xR$BlQrxdk{IjlsxiUyTK;=4E&Rkz_SvI}2MVvBWUt|8>^X9%gw zzQ97#N@yEh6`DvZoyP?^9yV-2ltugWGB^SILTLkMGT?JaeZa>j89d(e^>IT%v21e~ zEeUCYj1dsMHNzF)DWC#zlasrqY6T(AN1QD9DFpqjkGdZA;fBot`1(eY4k`kQpque? zw%Nx=%$jMC#)I`iE5t{*HoN`tT#G~qSZnF30p>-02{Gnyj9R(Lh&WNc;4UnPs^ie8 zDAA$SZ*J5SUVPYAcmd#?B$C{a+EC39pY0=GGw1Ho*H$;Rg{MHYB+G%2`vFnHdLCp+ z@WQ)hoVEt|^jB@O+1^|$*``7@oCVo#@M$oaB9{|roQHyJvo_4K0H@bx+>I4)^Wo## zc&#JncN3;%y%C1A=3vkd8@08~^+Cu8m*<#)Gk&EmR}{MPtBL z$;1<^xb)kw6DH2B_HeatHxTLC+S=SxE`{-Dn*Bzinh_O)CJI~SE{;3Tby?O)b&t$FtkFzvkD@-25m1#od1>2soXc&W;b4{;#byf1I%||KPQ= z^lf_I1NtLp!Z#^0$7&vb^e@zw;}oDW!hvJAUgso_}uie8kprvGWe%X2B2tOzrc; z|L}L1Z=nC-GtYcGef8RGo8GstJn=hQThERD+7G?uV}2sOv^49>VL!b7kN+`K{K>am z{%-ozAi}5;pgQls7vbi@vZGvvFLjm2Re^tH_P0rqI5`+_C#(sXMT%4E4v;P{e_Muc zM!=7QpJ2xju9rYb5RS)b^g2@y<{-!s*>3gqSx$@w1PuI_mo_<;^ z>S?olYM{<67j^A<4nA#u|NGznGw*rNd#=#_qYr(k<|WSaM+$ZOnw{6oqK_UQJw6LR z8~5OYKPY|m@h8rl?R4-3Fu?DAX6G}rxl63Pr}9WNc+Y!&Ml@jAJDqQAGLIcVnb+)4 zrnLO%6H1p8CdP@OOP?WLr{Llnj}GTuM{{l}G(W3m8jVIwe;_VH`vJWl=7aH0-ir?B ziwQ6wPE;_TK`6%V&d{z(D6c(bqSd_LyWT&hPg4y-Od&mv=e-$VJ$`bwn)CLU0n6RU zud`U)LyQN3`L+f)Gy)nmw+HYu4JRW~wSf$DaoJYNnbI9} z37NQa9T{ZxAtf~6X=L?sL}!%yp}^zNEp-w?9=B|?vE^hw2Y#-qj5QgitTeBxoGX!A zA}Ae(opG#V&4oe_l;tIE;!`cFQ`%I_!f)OMFn$T+)ew+S$}ZV_sF{Y8u%Y_3nQR=9 z8B{-pT9BZ+(uGqB;;8?D>orxgq#)FN;4@EL;Ikc2bk<@6?rQ|=E{SoTb8QjH zVpZ!EF$7Qp0 z%XmhlDdR$iQl3AP_B_Q=Ng*7SxJanR6~OY#VCa4@6TTQW_MNYOvO9epXJF64z_bM6 zSdat0^QG(>5^I~JQ{VY&wyN~$?||Xf8ov~#Fh>^&GdKpjNWi`MTEn2O4KzUi4gN;@Cw((9D7X^IrU&uky=b2mMmmVhK)@ zuTFQ-cfJik%UEG0$W61{H3sk65_0eCSA{PD#Fpz@bR-{i_mp9ClP!rr}I35 zo`_Q?J}&HWsf0qfxZYI2(Un?LZJ{xzKJHvia!HcVf`b0dA=D&{WBlFA3YTsqi2K^eJOT&=P5(RyM8T354@F|{$70kH$g2F|v3KoM<V_nLi!V}0T z6@0SFrz1^%Wn+9fI4x==(u}`Nj<{no%S{OflFaoIT$WU zCI|q)DL~oGg%Aa8mC_6n=TO{07eaBP5NAIVmHL1rF+WoQg(_+tXqB)`x+f;5Bu-g3 zL9E`8?(>3NkLzKCH%lWimKc!Z8b0OP%$f38FxbuJNhqd{gYh;FWQ}=3ii6CNo4e*Dk_(Up#}r(lqM|w8 z`%rD*MAxaRZgvy0DV1e#SW?##8Ijp?4=MyWdT0kWZtx4ni1wgY8yHc5B~r@ZA2(!z z?QDQVut>YG33#A78!ef|Obj;L&}ltIzMPe&4TThW=K}=*H_|M0%9ug4r&c4?YeYd5 z^w2{=Bope328$46(VA$JWiBMMb$DQZh~iDyi1?&ervPnofhOG$ zBeW>cLtRJufTn6e6+otG3MS%2Z!YLmrMjn$B?mOqS(<6R9-$~u$`A`^LUI+XY7M0& zVtTnTX!bYy1(owf{xG?0%0jrKm=-H_Fi=s-1>i2QY@9Sr4{;pGv)41YbJ1%XjIuId zYdE0EY}gp8b+e(YvVDt1!YL+W+aU7qARZzdTDf=tV~c|!fpwVO0x%@EmKf9nOeaA` zt6(J3ZS?KXq70a^T3nNm%qbG)sT$*{Ex#OQC8>7?;2@?;7yn3sV@<6`)(mTyc|=CF z+n|Fa2Rs0Cky>r4x*7Jv02L5mXc|PlW*|$e{%SB*`v%`|3r&Was6b0OUg3nCOqsy| zYpq#mYC)h?4W~%fe}6075c}F7vN(&v#Zs1yK*KIu>@N8fq$HHd_jRRLD8%b19 zH7uryxeHV^fD|}ITN4j0@1iv~>gWchjl)SE=Vdhv8~rZU*=n|hl*~7DXJUi|obOyg zaP3#39)~>TCsa7dS&<`L-)IfmQEV)yf|!5ds_J>fcVgp^M>Ct6r7efi72p zoU=^vDoA3=DC6b*@^YZ+9GRVt;iW}F?B$hp$&M>M@wM#_6|36?9|L1uXpAy9V%5zv z&(ue6AAPZNwR3*gYN%+SvaP3PisTh}U%-=(^nrK7S}Q2yE~&TF>C-!EYZs15(#JnO ziJ2eY22hhXyx|S`*<+e_zZ%3bPO^K3PkiF@az2Y9*?2uKT`1kowXIevT!P6POX2umfwL$x&~UUiI)>WCT~Jsc^H5>f;?fLsU^&LYA* zAv~8tY=U#1GY;&+Q4RhPT+X%H-8N&~8z(w(cto&HS0IhV9ae&LfG+`kBC1D8iO^w! zS#jdha1}vCcwp5{2vKCD?s{e_OooOUhT~Q>LUGh0m^OTX{DGQk~7H3E-jjti?>r`0~}kN zQn*uwLR} z?rk^Ffazoc-V+HJPm5tSYzC__Z3$hChK2KDuD7TGDiiP)#A2|MEK~QpaIYjU+5|7> z)e+`y*+2zJ)I}Mi9?DP)S3}doH!MlbpbFLQ;_%?62~?3B{I!BjGXw|2J@}ieL+qKy z>WhOw=`g@F*2h%H3QsnLrw&(HAGWlUT+jJ(WF`e)8{qhVjw+9)E$LS;IhcQRSv%OrBz})%oqCW?i>0+lX_JZ6Y zGh06+E_^Rx*#|u3GB9ZFm034#U=>UZEY;7TyZAZtXX0sO(cs*T0%pFC6m*YIU#a_Ph za<#Rywc~jpY9PM=o91`EG;eL#PAz#I^W&drv?yKlu~ZA+${|42vT^8{HheojJOaA&_sp|j#eMze^1%s530f#CrdOjoE80-e4OwODGMSDVAS zRzil1&P-rA%q)sQQxU?LeJY$uCG3Nocg!lU_6$et7o~T@wMIsJXy}cTl_~NX*JxIG zrf{4@dD}(<#R*l<%fo6ogD&qj$IN38j>V2RB1I{3pt+@Pp&>R$W7dx!HBY0o)zrqF zOzKLbHv&6u2P7#0cORM%J7otnA)vM7$lh=QCl)xdz=;J;EO26h-7H|Hh`PjRWi_52 z<6WNol2cq`ia`&CSxn)N$xD|3Ez6nRTmgsz_?iMEzXCNL2t=^5_yM}(#C+;8hV(mV z3ZyAQMIb3c2&8$$T~RH@>n<}FQW7J^=6nl07|36~{bkrl66Z=#i#z7HJJj4!hD8Y+ z5vbhbN}n+;zp*3|A$?*jV1eQ>1pzSR&!I6sAgVV(>$*FS26y=D;5A^ycqEDgix42v zMItSTDTn1}I=6sZl6w+t47lBozcq=cB?Ci2Id(%>?t^gJYVkwPDT4XE5eYd*SHcps zblXTp2@}v#UA%{q26ZN$AWIY_u&}-D(s#!!wn?0sM7a1we@J89OO^ICzN!e@F7l+k z+_$WIZbpl6z~~b)99KVQp5e{p2cITyq5|ANW|k47Ryvgg8O;HApux=+A*mv~a)V(F zH16rcLLPvagi7w#W$Br?JB!VgKQ@xG;c{Uhw8~;NAs1&gb+e3`l({-W?pmO8a2Ow( z^vxt9k4wq8Mb3j|K$?Z7-$q|xte&-n31>MZ0ajp$+vAOZg;@lyvB~hCti>77aw#2_ zYN|#LW^fke@|FuHWKMAeNHW>xyTBM6D%7$Nz~DTnR0dhFSr>B;>Vf4RoO}CDcA%6c z87}-Tn?EX~i4yi?$1FBRw1y#W&ZpcloXI{{PIn0z^z7w*QxJvYIvH>1r*aeo7YH*n zy)-MZ{R}HTChTH$PpiHYPCE>SYO@Ae8$;WxL-iJ_#Bx2}D_uyK3>0RcB;;``1%{hL zY7w^9HeiNGY++G1)^LBJR>L)oMv!d`)0Wi{YRuhHb7_t9X1JRW#6MaP+z9~2Scj)) z5yz?XFzUr7=&j?7IqU~8JRc zCK)1D%|P%{U%WMiDjTq64A!#9AOY5Nlqi6g@JL?6S{4Dnj&*551|1B2ROmFIEdks; ziry@aR7G(wOcnQ6V+n|@V4(Y1h#eoQ-^(mgTXwwxGC?aqL?wD7MFrGax)GsdVmP1~ z5_jBjIGfX^IoM7tEGrB-Gq?qmN`Z+4%hsr@!7d?m4;wXYzt)CI*tXAhk`qOUi%q(g zwQWS%2@-N!7nD|Qa50mNg78O6unHY>}OA;A~6J+h}nRosY_Yw-6OMcI$>794p^|C+O9{t!0Kj*N# z`8`r!{Pg30WV{P1f;!r%P8##<(7KEAW_#V@GG)MHCKqceOb#?71WyztONZ+&ZL z)Y*81T~q7&2Xb_-!S<(~_k832*MB(r7%|U({4QDH8mCGuQtHXO zyav3VpJng7aOn~>z&mzk@ZVz8joID48#WCy8PL1!m`TtB-bTbJ6VDp2UnIlqqn|wX|yzY=pj_^=>Pe_ z4`jbMy7OaH;L@{$1~qu-)Sxl?z30DEQsf$Z@tt3M*vlCA<4^spw1Z!1yzPbQ{FQPV zJXF;{z4fiw8ohJr(v>SH-DSMB_72EAH3+g0+qB=Mf~(n>Lb)!B| ztl^DkFR|quCw_gBVGSqDx;)u%ny1ecmpz_9W4|}o^K;FqGrD$M= z^9TnPK0~_z_qNRer>QQeE?z`u1vnkyMRz|CRhYSr#evN0o&L69sFa7BEZM`Ib)1C| z(E-X6C2a&rbsPxfP|eHH4Xm5w7Uo7R0;dxZN{d$j!i_a?8Z?YV0anQbM8b0&S2+f) zf}sEdve^JCH6Tb+t0jP;Pyg zQn{koOh_{v3!D}_b*%smg~St@3j?1V=Ie-{H8Y0W1FQUuFyxN61pBHqH|`^>M_5dP zks{o@qr#yuw^DdKq~t6;9;IchY-Sn4JW3z~w^VXmnIfE8I7LxO#dHK21S(2hHb7-y zfyTLT1zmbfT zIHmy#6{cdqwXrIn#SUVeyH%=nKZWmpRSt%uz~zwP*}*s*w6azh55qyz2qQLVia*=g zjm8w(CmUoE8uDJvRHFWHeIrOCY=>>FZZvGa*B@*)u}{@X8k7Rf)Z57WLV{D6z$3lO4+*mc3PYvvPYu$z|Y_F_s*dDt{V%hu_uh_hzRD5ILqK2xe75O0PBbNoz?J1bJW zato;q1Q>Ocn@>qDEhHd`%gYn_uTS%XYD|}y7OAhPjR)9RyS?4$>e*8S95200=Bj~ zozth6&#wSb{EuQ*Z_D`;XUm5Kof`moiz-t(a6jZ|=gn_k`Tdozg9hKo9jhbtaL9ZS zfB7Nvm*hTE?~lvm`%{5 z{>k7n$<^e1&CQiR`i;L14gP(-USAsVUCthkQUlyZ!8~*vsDawfGPXp%Kly>vFMs*g znXSrP`6x8Fcjw-n?e}b-eQs2rJh7{*S8*e4^xShRE5HABA3in>mUoutYLFz`*dTmb z?lX>C=T^sX4zfz=9tK4kzWgx0m$2dGp^hLfEAEg03D}vRe&%3@o}mF zVm-lVxTMhMArNbLq$3jsc?38FtjyYNi76-`%NfJ*iJQ~>cvVA`Zffb#?F!p2!5BIy z%vK!q<&t9am{L|w(nXZz67c*O7l;b!pbK8P7NSsCy+!dD2T-SgMkQErCmbRzM;KiC zz`zN+{IIHkcDv?9w@9-Z%tvT2WPCf=Sr0!caBf#GIbjV)nFVDP@aa1J|@8yOir7^VH==){Kd#xa8yq>0e0Pb%AAf5P-3GjtxSn$vlPrFv19mEsR zptXZKrafqr8HxG7`|Jar)W@Gtt7+?d7)Zjz*h8dgaLeIRwEgzx|O$CbN!?lt|_I# zk2PG^S{jz(nUo!Q3VLF)5Kp_ZN_gus!#Ra#(TtU=0va%rwn(#Vj0?a8pxX?F8Y}ey zF5+NC3h=qt*g*qU3-6|&Lx3oB@{GZNT{~}}CTMQR!xg@j2CQ^R*F~Fz7=RI19KOrD z8~1`tX*2>T%@-X~e>u+$u%RH8M@usb!Ed$(x%n+c+NNElFTnipRbM!UZ(wLo)&R(X zL94S_lCi}%Z5z*N;&K!#cOI?d*6M=N@! zJPk-01}D8Bj@aq=U7Ji9WNz4A*dy@RGq!jPc#1706{#73Vz=c8@h=o{%;wdF9%lDK zPShu5PJXSR=c!Of*O3zG)ODpUq5aYq81pZcct_1$PKzd|M&om*F?K$KI1ffp4#1Ln zxa-0NbuKyeU%%oN#(3PMKSu+%;ycN_Yg|JV`;D`FtcL;Y-a?>`hJjm3D&b2irfKxNT@f>v}`lHMJ@7lRhJM-*L<5UGb z8JyB!dpn-1fwZ_u33KDr04LVvOKNaw9uscpzxs^oX4ojgcSzOMW%Vj`hxqOtQfS;3 zjSFwD`p$X-Cs_40%j2@JhJGshZ&jSO)olt-IH$_omYo65Wpzq@@Tc*)WZ>_3H!t^< zcax0E*e&*aB(3GK27Z^t?2a zs~xPxkNk7kGZ@wRrK?~e%+_F}@bqt?kK^2n%liwXl`WAy`jpc1(u_tJ?MHY<7IlQS zIwL1qb1RoLPm04|eeD!|YoP`!k3OnqXn=D#{tlp2JWGRKO_RZ50MI}v$r8kHS>d%B zajoib0a|{Ugx${9R;-l~Ud=PH>e)5O;Vwf?KLM6$#7BrRf~0YHxWJ+L3q-kJ@hroh zt}NR4W~IFLA&gdcDZxD=E#cFt>ZXloaU46jgd=YS@@$Y&-~9U1Y546)_ZV!+sE zJivj4eE?J`z#E;o0&fel4_LWw`WU8x7+G@Ch#wdH3ThuLoSj692BUG^uT8(nYSI*f zneTu;LGy}?gQs&$q2U6E>+yu(!U<gStk;u30|Aa54r7FY0Iz5myUwmx6l?D57xZ)fa6bVtAS8zF^R5A5Y=l}x z`L(dg+ZxCQRz~O~4w!`jYY+jJV*=}0Qlu<;mYvV{)VjsfMh#T0uP1 zTY4are(2jMRJg&6c|-^%5~QN_s;V^S45hXiuRWM(_c2)qMFAmxWd&_DNed=lLkK-w z67;GEYse&wek?&(?LJgg{eY6~{>^u!zVf*;Id$)r$&81@dDMFw zk%(+hgt38GUC>33aR)Gqps*G^wuJ1Uk%n?TsJRj7nlm)m2V+@($*{|AcaS=o_lMysfIzC86 z?YzSHd%c@OzBgVFTDzVk01bGqNRd+v8l@i93zSv6dVdl0tkaShJ!}GN*mpUHhCGmk z&~JutSV0n_^L8JfX%H7+eaInJwHOACaqKt;hv+u(#<|k={ApqoCcHukjZEYR%+ys^xUsS!m+?+>CuC$aXBmU z5kK=9-RU4>y$w&;j01QI`n_=b!oRj#ywbd3y>|r}#YPOL z7*|`o!np*u%{2Q;>pb1sY~3%HT1fm?{UvKym&^a8UM_#@HZ;!_obh=__v;{xOF=YI zx%smTub+&5K*>LCP z$(8e)5*&-UmSAHMfzb?#Rs#3xa_24*JoEX_>l{#bA${L+jM151t(2kUw{}ieev7k~ z;4BtD_=sD6;OU*^g`M*^m*;l|+uL=07VT;H*V1C0wVar2ZE9iRNJJGv5Izqkuy2r401?@I#Vi4OI zKP-cQ>A4~oi+izk38L{xHZ;Ys0LjB#=4kG5`KjKgK^P<`afxJHl(kU2B9pZ?eN5DA z%-UtWJr`Sq7SRf#wRm0DqRl4XCm@1R;s%KtZwWuGCorJt7HO(XlaCN(O{O4P7BsO@ z;6o2&-nkh@;iq2n+-<6KRKSaQ(zhyaG}T zIuYJHP9>z5D?O)SJ*@kl>d--)xNO&&o@%DS*$hdVxR`^ll9jgPz%?Z{6EzcBK1?6# zOG62%Lp)}(Hz0E^uDrCy9Ej;;I-X*$pr{9E0Zcz*2kKNdE*>IIjn1a!wAY|P(v22{ zg?)&hj-?`AQMZLKA=DeiN`d%@)^b!RE0aXyGg-CuZ({{xaB7tmEM^T#hFETpV~{uJ zYvQFTd(DEYn^jSaTu|1=zp)roMc9DCuxrGKnc|49B25~<06(4}Td8zN+{DNzGu@^M ztaeG3HWMVkP{hn>jfCs(feu_nAA^%*WdgE02J)x)LG4$Xo#I5k4e3YT{BFYdP{gSl z&*ddX2eFOc>JV+fJ0laJKOiBs>P>j*&dbR!CCJ-SkWAg%!Td6!xs*)IA_V3yN(tR% zVrnEZa;^p`=m_94aia6yP4Fj-8`k^O1J`FYKw3O*gb0@)iO}AubhBDC!WynJM9|&g zGKEd34<){6(vW`2&?*{Uee}cjutPuIjjkV50L0g=?Y!tRPi#RxR~uD%fXhr)d-N2f z{0*aUsz&670y#Bt22tZ)>`rdJR{O+Gc@ZDyJ@*YYl7t_Cb(a zvITxpbC#8kmjJgc)1r;)1BoAjAk1;(NkmmQDxf+XE=n3S^}!~S&FD>0)ZBA*mRSYQ z#^wHG77#Q>6o7$h0H&y^+gu@ChQzsowK5bGgpY}cL&6T3>C1)cpzIvjv2`<zD=!A@!{eoD#ES6(NXn2QDQM`4=%~f9PQu_qtlF@Msq# zf~b;;%CDXyVWRs2eQ**%vp?u*XgEuRnbqy-fKm!olW-fRwc5sXucQU_O6c~h@Y!yaR>emvK4?;{P>CvBb*hDk9ixgti^RjQ(Xt56XQJd4%PQbZNkE=_49DhIl zwFG7PJHO*T{;m0y8^3$J)3V7Z`$PAK$otm~Mt4Sc-l$BUU7iazzp4K7r_41Rp8MMm z*ZujouB!%}fG5=FIs-@_3Amq61C5TI0&~|%@Wc~OykDn6ZGCorT@tuET9tVc)a#3& z{btZ(+$(^3>-}Hn&St*4;SFhi&S{FO&=+{1XdXND(M2v$NkU2Q{Br+2qjT5jQI=JKq2 zR{2chB*(&!d9{mm)BO3L(}~=!#n#!|Ac4(ELlw<%bHs@4rQVx`nqc z!C%~duJQLFffSa1DgRQJvd5vmdke9s3z~mkXaO~D7QOYL8@#n@b2MtLVxBIdi&I|A zy!z>4P6*AoW?Ti-Q*38`EkngEFW|XyIZlJXXmQ5NYtaV!_AS&a*VG>8oOfX6`kuCd zUgKU|YfpVwU;X5=MU%j_V{W);5k zzpgzPP6?m((JnCZGS%VjoAr?W-4^GgLR;v| zUS-m^SQ-i?Sk357T9kV0G#!{+q5lp}YP3FAVvdi4L&T`_D-j}l6UOh>OuP}POA54_ zpRW%cu~?cmL{1J|LBoZ1Ru>Lt{jk*>X98cB(jI~kC-XyA2B=O~GMtOxxHe#eov=|^ zvfKoDJ?%!Zy%6^-SZCU&e81E>*-C8=AGo_!WX5MF{tWh z5oW=|QcQKJG7)eBgvvT>gCq6G`X8}c0i`6a=G5YIOn@(T(d8TpxkjreqV zt|QQx&rGDyy)faVu9n0*ooNan{EVv@7iv_OrncM@V3hU+Y|!J+NJd4*_TU9Iz~yyO z*oX-3gE}aj-&%Jd1mdELVH+7Z16J_zNu-`n`NJ!in~y?>GcCO+L3t(44qS8$GM|qQ ze9_E7iXZ*FGLvrL(gUM*0Djw+z)}!P70bYz0|60W1=EIJLp=heeG@jIaRmbq`5H5) zqNdVCKtYo+$?05J1Z8>F-c-&lN>{WbM%NmX#?5_QQw+J=+YE@&QFs{kg~UckKf(rl z2T{r6A7gMA;4Wi>wM)-&FHrp=9w00hq1jtKJQ5O<)05)RQYszY#+U+RB`1MQBgfk3^FqXpiGsfJn^mkBF|!x$J3SfKw1()_*taIxFQTUjb1@BBoFEX!&=Yji(pG_1y? z`pib`3eZI;GTyf8qpzVmkUHZd8r?};=xw^M!MSXqlCR-9B@*>uvA>R(T!%BJr`>@` zpnB3lp`~r1M&XPLIZ2klD~W8)rXid!dCt|RIu<7YS+VCJM+=a}AYp9qS4~Y1=74Y=q$r;y`w8xBY*iHsSWM z6jot7Kl;fh?>?imnpUV2j=S~dcfl!G7{e0J<`EU2OMFgJTb#4@!RlYVc89sJ*OS0z z){n_`mINX@U4rd@_0o}@=w4pFN_XSh&U3%|Q#NnCTz({UiTu=a<*l8ar&cTKpItty z9JZik=52;8Eu|;<)$>WcpOd-KoGYI=Q)|~?61ZzSx2n>R9|;>0Yzg=1`Hnih{X5@j z5-6;b;AlTE#iEqm@<)HaPX&4)J=P76MBZ+I<2_#b&S@2SitgNF54Qe9Ir@zs|Jtu? zefiR-f8)oiU-^-S8E))B-+%fy0yEr=uQqR+#G~}>2~a#h=)HA}H*K9Xz3F#%ZoPEG zf6}wn&Lf{+&nIVDk41?mziWQ~`9`FIF#}Mt1~v{u)!d zq`xY!EaQQ<@nGqw-~axbj`O2Tf~$P_-QnK``+}1u((J$3^>Lpu$Ns`!rAhRwC+GOz ziXck+J|(#Hm+lU4VIt(1o}YQ}PkB+y5MD2jecphY5BIo$IZyAvb8g@hanIt}Gn)4| zwwev1&bZ*XGKG^*=@n2E@P0jr1O_hN!P5rY(`J(&VOfBQpG(-Yp?l4&DeOhH_O4z; z8>sttMyH>e_3Ma*H>>b_JTI)~=;|XeEN*~+aoM?iw!1P@Zc3QTd-my)K z7x!S?LyOt4{MwzGArosHw-TUa)QD-INs^#uNIp2sixK?`D#;#ABdNN?EC-Vs;efVD zQ}br0ol>u~WZeQ0bfBod^Q>+493?|zrAW`LtjejH^GpL6RUE{_y+qG<%}K(Nsl4xF8K=bhHU4 zrFT#hKj2Blnd7P~r~y-(t6K5G8w_=dR+O?U8n~sO=(Ih+1~BhUP0EES+iMlte!E$$ z5bBX_La?%JH{?kr*fOtq3KVg0K*O7(IU6Eno^qIzc&}C-wDe#wn5Y8l(sQ@MHRS@j zGKnc%D8*uRKnfy;*3w%sQmu|aW0i@;vDGwMudGPTUQYd`Fxm`ITcA4zE6hfvGtC^M zGwCFLWHWJPSVZ|4>3XRK9HXZ>N)G#k4?+?6nwaO~pfG)jo?DrMp5+?q(F%yh%6RI6 zr|=ZabQ&q-s#B>(2wCJ6p~4tV00q%8U5Ky)LX9%>hEZ=zVB1NdN!b=|*keX^%zw-q z@h>Ri#jaY{S-Ci9q~4;bOwHuC4X-S`*zY$P;d8>Vvpw9v28Yn}j&K;(N5jiw;R{Nk zTrga)sLL{z<-F>}{$A-~j1Ir)I)yjoFczU-?#9t>=^zL`mV;fT-*RY-!`^InlS2^2 zW(v*GaOS(ITDh%>D$AN1rC-wf$G;06$rh2rG*fuU8@?Rwv0PjDU9`RzTooxF`fFgY zjl;047mBgsKw!TOui6xjgnMMn6rUowvEwBecR$uz6OqBs4(?ia!Mz_4aTHs#v+h2HRI1GHMN!xapKHDZG`8X1h5(28!CL0qFmHRC?={i8yrrA?-9OOM9rGJ zvWV1y-U2CNT;L}x#$hh$V$SUvVp-4mbfJtE-YcDVF;LTtLqUulby*x*3OMwsu~Wj? zlaO%!LrWoXNGXJV;C={tN@aOAU9gmUTsMJKUB<(taYb0r5I_P)x#v6iVC&Uid#dM;G=U z9PSlP4ecaoZM}`GYGWol1x%08$qTL(P*vIs1*p-~wpGSn2*4Y!O&-?#Oo6AFYB(H$ z{>nFORzrGSn)NEs9P98+yn1B`I=l6rZpBm3#R@lfZFnBmIVaR}fjJZWnIY?dly@~< z^pu*ljk*-B##b=ss(2z^T^pcyimAf9e~2s66o2L2aHNn{LeDEuk6FQ5HOX&g*l^#E z(;Lx=vR_5bEwMUp5fj!duA@W~B~{3d!ey(t=1}PBo;n(Y50yqW!_R`YHA%1@^xD13 z)50`}S)}k|6V=P#gn&oaS)d-!iZ4mS;0Scm9_30}t|;}LS?s9uKoJnz$LC0C^$+900oxBw#3r^R zZ^+Vc&h)-w?AtrPw&CUMFgswCgKmI>*?zw_+s`ysl9mMris}u(jn(X47wLBA*tBoB zoSqCl6K8XI=gTVj`Cjk*dUkI!#v5_p*}3z2>sn8+`Wg3YDiZ$NjdTC&_P@d0SryV} zm!CZ{FXHY4?%es}7w>~?MY7`_Cw=dljU~bF^ABlQ= zUa<>g7wo3z>7<1Rn;&P0^Rb`4{N*iQ6MWroH|d#dw9(AB-_S15n`Nbqp?_jJ{yUEG zs`Bkm?i7xvNl;b~U9wgad&Hj-M(yn5oP3KI9S?Y?{S*euv?5`J)9a;H5qOsPil?yG3m464;4OJRrd9OK{1b4W z?HdU14V`Sw25FGQXEwi%-4`42-ae-#9$YxWQO&V;2vmydgQT+3=0qWQjT-fZAH^co zfj4+dEAG9Z8?!h`7u#N`LYQ;*ZVK&!U&GG^4kun=Z?QIrJCWj1p1IrQuxZyjIE;tIe$?op){iqk$bYS&qmkZbpLan;yB`nZz#T+VTNCFHUcs=(a^oD{s%=SnoyU)QkW20msJZ z9HrIjy}Ew%t6!|&&c%fH$_wW&-#q{7S_1Fi`_<3Ywyg19QQxNU8b117Q0$h=e~&TZ zV5Q_2?wyC40c86GmZa#VVA4qY_DJv;?*X9xr$2q!{d!+Zbw1eWJJ-kDgHHCh1)5tg zyztTs&pm%4v1eD~1}B2vW<>9W7w$fD`N_AL>wU7@d3xt*_1N7XbP*o?KRVY<8$RiL z@07jM-{HGyJ0IL+*s3-pxO6{5;qR1W8+rnOYu$QmwAb|2adjFyMFfD!~1U{mu|%F_&xDDx=>lB^6YoDf;;GKE8*8k`Q4zm zT?5_y)Y)%)0%tR{Wgr~KbBV28rVdof^Mfp2AxsL;40-`WcEI62Z6SI*l1z{!DkrNax z7KV>)`q6Ny?kbcm*L>_^bkqSp+J`V#Ats1ssWyp&en%jQQMNc^U%Ps5;5;d?kJn%@ zAGA?Se{5A&K^zzdAutw2idmaARU)pA`h}aC3IPVK#ofb+cmo`8Hiaob;aW0yoK?tBd z56j##)_2|t)j6U|Kl$UTv}4jfGNp=b>ZeRk6hn&DnVY2!PH<+@DFyOD?xORBLw0g7 z(f&iaLdN&r3zqUrjTzrjz^uu9hSh@UAV@&p6tL6Xsk^M`2*}PUrO<|=GJ}fGGcq!| z7gf`AYSbZkC6oEuJAQSPfEl|6qFJALtQDwc=`*Cd_fU&h@I2<7$m^Xit(Vgp;9uVgWF3| z)M*LBF=Sx0=Qt_B$Fg`-6S>J1q;EbThX7+f((!wv#lAF*zh=jANswvF@cDiNskRbt zpx$TK0qrKf2^4hA368L2uL3f>PfRTD^Cpogu{ErBxK<76k)Y1SR#wJ*w~~%rkYE(k z!D7Y<-mV%oqfua+$*h45Ms}2A;!Ww+Be$6IA&i^wDT>mO&{l8O5mX}j=pGP-YKrzx zA3KlPZ)PZ&2jG~K50GHq?i9|$4t4SivClq+vBwt!9$Rv(c6a!wP4?=_+~sFB2m{cqXI#?>kimj zay%X)CF&f!sK_KV;A<~NsjUU_ACXL)2df=lJ-cP?J}GZoY$9tvzb;BTQkDBmNYy3Mt@oN zv#(SSJ?^CT*(4#{|E8c_-}5{QKqtZHoj3mxqm$tAuitpsedflM%UjL1Vjm&G zv-rgS_+5t6Io}zs$J`@&Q;hzpzuc6?0RLsDFC}um@N=Jb?rVx%SQ!D?YkG}XHTfCLAg^vD0kJ}5&m;M$TesdiCD(o!BmXic^ zox*o?CcV3GH%0t+FW>oh!k;BUUBCEZir1O8lA-lbU3ALXy+ttk?yLS3x&Ly~fqWcn zPDVNjQ+Q`SvwY^!&YkR+m&Jo}=|p6C36kM+G*jUs!^QGhOil&rW-W83r~Pj(|HH#=cc}{ zOlv;JX-sYYc~O-E7YGk<*N)cdRjd7`3pm1oQ^w?~2=5a+@XDRw?~|XQg|F+QQ4=P5 zfroMejV`5Eas_+}SC5URCqLRcPzG8Gl~JZtWh$NYt#uBCXaX2|4H=egPG1wN#A9El z8t0ISM!8A98hVeoWq&x@BSc|pYpTs9c?H@|dqM6S7 zm7W!;9eI_gWzxf}(TPf^u_RG*#6!BB>S#6j0S!0dW-@GdZ2C1`5h~%~PLG|8qNY9E zy=GxT99XVPD9S8~%GH_Zt)@-oayp5L^VEdaY6nY(xJqafG8#j|P0NI>T@9%*GsKVt zf+{mygCj9`D74^8nswgu7CCg2+#<9oDOL8mZ$dG2Gv6Og$|-!ud4cjK1J#SM7pWgi z_F^8h*)$RzT;)8vV}pA6^9G}iq$uJn)}x?SGUG(E*pO!{(+DZac;}0tggKDSDXXTFHbeLv*jcixI)We7^u)CN zegl@ROZ}dAZr6L)k6CrJ->-WyL9%<58t^(HY*3L?epFO3X}s0yAqp0bArcX6z{Hgv zZ-K!1Y;BEDqeptsF_7_w2LY)m-CM3E}a913dB zBF5f+oK0t=d7X~4vWmsFe}}U+lA`;_<8bWe2ij+2yx>t#6+^ysA4jT8mxc&c3c!fM ztJxMI;*J~r6@?{GPiRWlE|SN}EoOB0i{0vgt|8&%h)vVS2g60gXO|B>bqx2tD`t-K zyas#@I0|%??fgb+lto0Rlu3&}#_eMF};&QFV|vH6Ch%=tt$SLU4vz#_;2D zTDziGHueP08|p-ktPRvW8uA6TX_Qs$xQ!A6Oh_qn^WK8mW+Fis*#jIEfT-we5BJf? zI0m#$2VF4$b)5|&^gMMb?}~I~OS7n)-6W}%2wBC*o#5a~U{DV`&*%Mv8AlXEeiR(< z%U(QKc&MS{2#T0$i;yl`YL z8bxU~-CunD5*!jS3^m|*NM0pl;kiYI2W1(n6o|>Q!Lyq^K&}eHvqCot=cVh`H6UF2 zl3EGSn97u57kx+sn2925rWBOjc&Iv{C89K=EEKa^q{eWO?b0lxFX`Iz-~0UcUcB*thKmr=I%AN0#)e)uk5w zQy+QiBbR&6KmXPDef52GJa)FOEid2d-Mnf0meEhTdbQWP<$i4TU-y2EvbcETf4|Dk zZr3`FJ2=?_u=A=z%^@_K9M+VY`m&u}jG_V!IQvb}xvs>ThT`p6^PNhg6q*!k#dAARj; zNKt(Ao5I-MhS=I^QC0UQHD~#=Kl`&cpLul^!k>pf zHwnD+W@FsFD_18$a&+hD8zN|agbZ~QLBQM;F8xkZ%>J3YWi5FBxgA{HwUIVq$uNeDY z(5t7% z*$~eTx~~w$N2Zac0PKx`srQkm$u2wN&8d(z$m^50#IjaQz=6;Nm4qFOkZ3z|eRrWp zZLX6I3Ta@-qz0XxN zU5}am!Iw&)K~`w}+-MzdQ+tDA*6_eRqA66&W8Nblq)n_cXPSfd4NB3w+*2SEF7+Ke z;exE$?PS1G2grl^x3FfC&DlGw$TkqZwOysp4tpo7@?$cNmt zi8MLARYJ&tpjT5P0QQ=frIL28A+IEhnZ}OfbG=q5@(Yk)W3N5#nNb=YTGCyVYQ==INw60N`FPOenJ51>y_1>m1(;1|Mevj{Yz0cpZdLOs5kcPq zgO{7Cs~oOs`mnLMp87yeRsThtM8IJ+SQfKr%!e0cMk~UVyBq+#pvm6M@=S?Kx%kH% zIfY`gU6hHcP;0d-f4~haTz0TPdtk=RZiDUi8y1#;Hwrj(XOV@)*uvHCHaf-*db@++ z?z~s}I2ib{HySs&5{;o8j3%(>oUeR$u{$g|eW{-I;%L8QFFiaJr!hqcL^0?scFR#5 z4W>EM-Up2TiDHo`uw8F4qnpB6Wb@tF+bvy;kzy{PcAf_fN-9VG5C!cHc0KuB9K^aD zk%>4c2b@H;7!+lBh@Fpm3l0w}At~gKsJ(QesLH{Bl;eRPF64(|c({OqX<7N9D@mC! zzX&Njokcdxcx$?OADw%$vv6TtdH98JP%~=`j^_drVTR1@O{b&9?7)pV&TH(M)@~~k z6oh7;_e;((R^(~CI}eSgNR5JUn2Q5bp$7{_w~#Q`!VZO3jw4^w$!kp?dj{=<>ky5U znR5ZT!ps;gcIl8&ofhEqBwTO-K^P61V2`;I@|I#oaSChU3I$)~yUw@a_!}<3^L(SG zQC9P)@IjAP6DjrPd)U!UIIC+)_+-)Wgj=*HiE#(&3@;#2G`RA4h&p0Aoi*lL3QD}C zEEzS}rPw7TO2t_48qa4?|oJW*JDg=G1$*$ z{=m~!E7At)`TJpj1$4335Tv1#@JB!v0sRy z<$#KOHWP=9lfroKQf7jmxH4-`2&>LGDXcpoth2j#$=>8}I>q|zvD3plK7TXqfBehw z?2Kps>9^seuzQ^ZcK!EwR3_N&92YuA^K<8%yZ(JEiXVt=$R@?TPYNRvQz5*z2h$+~ zUgna|6+8sQJXcd0pe5#I8+dyYv-)mHaa(8XhuwgfTK`uFeE$pk_@> z&Xw6uPve!}9NAItsXm^~r{dR0W%e`(9Wqmopx6|tWf?n)4LjY-mf^fWD`c~60x{^v zvoC?#GJ=Sr80VK0o~CWN6$jWu(Np=n#~hS;{bdy6Q16tgHQ z^v-2X0IwBw0;Q#+oV3bNv5>nfB@>c$prWAtL^#aawYXpPq99ElN{K&-dCm^;qFGYz@5gQyh@{ z%qvpY9JNr9>JmuiDIM}yL<$WJDS-ADaf25FfrvcKBIn}N_Xmf&1uO9iTI7)Q$YX!t zy_O2+VZd(3K(2;zE*DuMn(Jj8R$RwAN986mfx-1{?zitLO5gL$_cVig5Y)@D`2k1a z?*}vhr9bTV2SrizJ?fl~iU9Rw=2$3*Ql_qsG_lnEjocCH+ar2SN~j1QnnV-4&I@vv z2aGwGKs>M>B4si93c7$hW)j&lQoH>btUdLU(^_d|2l_63rl=hCrkDgV?oUbA6@$ri zy4RCdc8AsB3@xH{ipfiRoVXpLn;<+B#2^phUhL9tq-ZCZs%zl`O|Q{_#2a|nd>`Wm zbUg`}vTYz>9^Wb_F`Y!yyUdFoj-n2xg22VM82h5YoiJU9ZVm$ZGd~!I3+8U< zUNVzJSA~{jRAhn!Jo~uEF^)Z`q8`VFI86KY`(;USOvb~};-Da`2OX(+H{yvhA+Liy z5W*@Gx))2R2x;74ml{0I15z_N7pq9#W|MeGPt1)+z3E7a6Cv`n%3YSt3Kd(%ol3Ud zsLZYWSz+08Qp|p~udasEet%8xxM{@sH2{qr$sg(T}?lY z#(UzYH-tjLH4Fzdg_|{bM!kVG$>gJ1FKc|rMtL?GapP$okXylzM=b0Y3EuQLG?P&n zhAL~H%0O}fSkx%$K|LjSmX8(z8S}kCvlubZ!dS)zlz|89~UZmzxN) z+)Rr@h?S1w6T-xLR#VRNqL(uc%}w8oYC49a>EX!33*ov2MV7M7)m>H8+nTGRB4WF8 zYWV3ndK&VdLk!vOx=VJ6jW>aX;JnRM-DpE@+z=%k&309pY%HVu?i4kdENs2Sj1?Y@ z{p-xH-~7#2&fVqopP&Ev$M5VcUwiPi2SvHOby;`bDR7JU$6>kr#>M3~mR#TX#`2ez z+ppby<&J1>-T2Cl^XKMP3amQa7LK#ZFgpo;5DEUpzj);wGb7FL=Ph9*!`dzs2iBC`%OPw`VxFyBm+&}t9Rkh=qZRf6DrgYr* zT>raU{lD)%$Nllc?!V(%d)3ih&izO;mw&t0{B7)9o=u=+41mI-i>nOr}XGri11oA*d0-n})UJ|KEz=We_`yRb|;R17k zH;u(nLsOV{Zk>>TIcvM;juOjM%29=KtqSH@I5HtJ5n3xHplPkcGUH|05A!-%(oJ(sLkN6_UE6DJYuu(QZhFie9XPR^ zI$XFrsBq|hIt60Ft#ZbE(PT|F)v}&o{$zT&M>2raNa0mP)-t^|SBa?k?)ryDh0*1D z;K?Wdg9cW=^K`$)_bGfT*!_P2~3~{eWXg?{0#m z2DQwI3s&mGqGT3~sy6Lp@UDlhT~U$=dPPV&+oy`UnTG+LnqWm4!f-?@-gv5mM&oQ$ z4OqJfs9I_zScNEaAyn>;&LB9sl7!9$cnj$9(G*wZo?5&;HzlOO^o504GDYUR%i>}5 zjgK_yV;<)b%&@O9nAoRjRYm%gL{%vxTO?5re^{Z10&xUZ1(I`Rnzqd6WoG6iSL(S6 zno`GcWyPG2IT>qVb(ngjgR%P79m7FE50{^v1QUrA5WASR%<$ua8uG+m7-OH3FfZMK zWNSnXs4NDKJZ90{v_D1g(Fzyzli6s-93%Y^&ihe+bXb*Df11;7kJB>Cr=zOWV<$`96UCNVT|vgr0?YD7 zAcIL`=CMLCZc5OB?yv5r*petCEzg$uJ2U z3sb&}vf{$^@;z6`9<#XChD)Z*kPQyYI3LeruZk31w5ZWxuRv}vq(Uq*EWa>hgD*K% zR*?Yi*64Tgs#4lEEO#yHRbuZCKrwuqAT zmWwXVwUh;a>?0?reF&UBqZQ~a60u`UXP`%TRCp=BInnxrMf*V`h@DA6_NPsg`~k5J zBz0uSB?(3T#GPKf@D5(sEJ7GhH69WUJ#&xjP*C}x8D$6#o5^sOoK=StZoI%8x1UU$ zrwbnsqBuw=K(q_<5GR>h`LljW5Hys!0MjC5tzQ`4o>|W`W|J|C&x4WoL$f!aDl|k` z1W$~D7t8=9HOvL`u(VI2Yd_b4w=L*q)ML>t>PpQ*2437$e)`f6BpU3it^cHrm_}Dt z$(~NScpawg=SyK7M`xU?)vDf-~Mg4 zeD&2!Pl5yc;Sb+gUV34KQj_}aCOE@=RirLFYk7EQ93x9&EgefsHsU$%3Xdud!_XDh8G ze*Sy^=%Ey{vvYH!Q^iNLhTh)Z9=-2dPrT^dFZ``UC-Ytsh$h9q+(Rhf>HgEL1j}0q zg9H|`lHj}~_^njG2|+og^_(}zSHJbdYd`xhx6J=NF7=sNIlI-r;OezM;XD8LJob0D zzFfM`)sML!ZAiPb*RBpzm+nW^mmcY98FX(st@f>*+r|Q}hjY%PZ~e-fWv}bOYTdO6 zJACr*Y%S@r-n{wTPhR**h5qDEK1ZKl(5>oyy8r(7%m3t)($1z?o)zGmyjU8_OW#eCtYVrkaVFl-4&;ktn=$Wxyc!R*RH+vg_pkY^wZBgw0&7M zRA0htB;nDkmoIP-*_j;F37%MrOIxC=)wirN$kuOL+} zKre$9ny$6TqgvDkYnL9ms&h11?8jR%k+h~_h(=SWc_dkDN+N~^%Ple726bznVOhmK zBa9Z=(U4BgHI_CmIZn`9qj8;NY_Ac~tQ;vq!J{8A^H@+KNG7j;z0jvVbZuYZne3Wd z-#O<+rJ)P;yONx9izhQ()72_O3)nDHs(SIs)m;=JKTTt_`HnX3PqS`JTSSMm8s1Mb zrtfwqqe;UQW;3o1t*Cn0P+j$`4CCpbhApidldOSZtJlrkQa5I6k*qh4S3Q2!s3e(~ zgy5}MXft=@C??kw=phKxBA@bYY6nqSAHh+PZ}+OSwvi$QV@L;%P;+OEV;ScGKFzApK40Ll z-O&{O7J&Ads_%fL6?*!Y`Fx{U^>g`5p`Nq*4vVz;rBS!ArY z)u?c}*o7nFCWGASys*hKjE~37F%m}<-gM6ugLe- zpyQ-f!0${7Z%w`~_Vy24@0{7cV%3$h?t7jQAic3^M)a~Cw_B>JL6CF?Z z6wsPlVc3GPSqTcB1ylq@UP1eC*<+}VrlZ%&&PdMH_JysdMWYg+ehj>x=9a5E5Q-{g zu*Q}qF5CkRE+Hl|0P>fwTi6LFQ)S{BwW4#_SBzlRB+xKQ9e6K>IP=XYMCF#HK_(zV zMyeO1uBnoV3qYC%3gsP~JTeh~6FPxbIEjgLQY6fiLFcr;=(!iqo zoarXA*W^0z{%vW&xSHgVB2#NOkbT)BM4{D3C*NQ2gb zgrs1ZunZ)tTG3_0IWU0fCn?4R@3i0Ap-rqY;s&{)QoRj62t}7w87+{t_HN>tP3!wG zVNx)!#-p6qqktYk3zml5o~tiMn?M_Yh?cTMJp!wUafR+&Q^Gu0vQ~IYF!wo2uq*X> zdv%+Dq9F!^QVP}vRC0GYmrf?^ECkn7V?6b#OJ1AK%0vCI8+Yb3pW8u1>0AP}>)L3( zq6=QF*(ZY7Bzqkjf1OwK!h>Wn`et2Rc0*V6q-=0q3DA2^P|TPW%Y2%_&V*yS9aGP< zxx8lqb+X`*N`CGaLd$SgH=}Gm(7@-~8uSR;hNWe`o9m zKKRX79+^KPl^bYFTXSHxt~TVTb>YHu&mG0wkMH`=Kk@UgFvs%wJI^lvr$0?GigVxi zy$`t$rB$(@9^a7Q^>|)*?!udtV7~L2o!I>9bIV`*{sT+lG1le2hsQoEV6|@!b8<&! z{Z>+R>tI#knPr2}cI;V&^N3A5?W{3JU2XWGYg1&!tVSwN0jXc;Ztm?k$+c^nv&6?k z@87Ta!tF1dR?^=_37*^j!f6sS*JnEDWq}1pwv3pbvI-6q8N;P*An1OsWxnSg-qpfA-$wqZoEPI-taOC zoIZuitMNiQg3c+q4oGm&Po#yf2^|KtTQzHy5`eI!UvpYVR?CANV6IyiP3s3k%vQ24 zii`GJd5<_~B%m9m6I%R?cAX%#c}r_nm{$!D8XO99d70kya5gY(iL=kI3lnd_0N(m_ zdQ(@g0YBwh?mBXmmD$`VcBd|xc)exGCL{Rk9>vz%h z4*Q49?ip=OIGr9bpugbgO|kICM_>4mWXKqNwI5N5q5ikDWfrc`UeeVBcb8wBtU66A zl2ro&?hxxzjWo|EHlt!j3mPP!`>FX+MFgW=%gU;#FT;w%8o$y~mvR#n$sn$=rh0iL z!WyP)r#RJXuUylTe5aOMJ3XX|sM0ld1p-kTG_GSAMPGCYCVO(kgcKp`E#mgFj_##8l3 zSbf$GaKmk?$y?&8JLK|aP{D<6t|#sk@+oD;(yhGDL?27h2c8AFQ*SKVVorsk$c}m< zWS3ip`!!zP%I$cgZ=CRMBX>$(?%D zQYIj?0qwOPiYITm)+c6QDKRgMq&W_apL?l5$+Td!$qg>z(v^U-X66|~IGulOn~bhl z9L(7|tLX*4&79}#W=liM1}a;SACB0NY@AV=)1-1+Fk;gkw>1xYg`=X`ZrQa%gP2CeHPm5Wndf) zK-YnR0Y2JXNx{voPw{65a+u zz0ugL9WI`u!Zv&>4VyH-X9TlZn9XOyMjj>YP@ec_*bR1FGZ8;MWW!wzuNBpzPxP_A z^*s(F&#uL@W-%{dG$pH>AjV?K)o5j}_9$3E#O0$>jpQ!XfwU2Dlr+Q1@Zj)pfi9VY zJv^8PbW<=BcLL(U!E`o_%{qXWo;*4Ge@%!z#9NZRQ09FO4(jM)9w#xHuQ0QHg5x%t za=cGBf2gojl2URs%45d<{@(sH?h6}4F=0`+9xmJ>1&>m#{s61M-kfudB(1L)vDPPcI(z@G{Ui#@6NTCA8qO6zjLQ)URMHd z5-h*^Rl1ZZ-WN~fe(A}pSG8(ZVFUM-*O7pa4D0i&6|`Cl`0~qlFPz3xxI4)B5-I=1 zU!+_u-zPav>uZrVUq*U9BHUt)@SRf`dayqPEdTw@&vgXXSi{@zpDn@5(i%VsSgw%Z zHr})-wqug)txM$JqgJWmbO}WGa=+hy{{O0?+=7w55!DGVZO@lJ^kwy-yS{Ya{ot@a z=PC5q_5)7ehCX)noY@w8(_ja{?{Yt`YVY;n)R{6xL$4e=^^qTQA5i)rT`eRTQ!hXJ|ub?EYAzt#J*<)8hT z{PzPaLPi3f%?7P?h6K)?KmV^+65M*hT~eg;=WkY*FQ*lyO>_6|$3E8UU0QCgQ#ICS z8Y~jtS>I!4k`eI!_b+eqh3$&uz3)w{WuJM7+J2M8(=Fh0I>4#(-^<=|k|5n}`C^aAOwZE+AAm^EBT)B(UbU`JrSE5u4U`U`&THR;jqT4~ z8f^BTf-$4`PrN7Uo+XqbY0@8BmTg%?F?LxjB9^XI`nn4ZCe8&M^8$YXbyN&MO^2yO z^6d}Y+(Ik`dmkq+X5`8-Q4V*oX$rI8wZ_=EkX#WfwXFK280{zSHAx1EBRW@SwJd{( z=sxSC9S=izbObXFmk{(<%4~7bq1f*8@AL3-ZUNCkSN}BN9C|xvMJ{ zKEYU0>qBMzTvg%=E@H+SqXR}O&`5OTjKK7xjW^xRcWXXg(uz~2y(yQ*6+so39#K6r zmNs*$n1}UlrAo)GNHFWbyG&;wvL2s9@vCtQe7aN+f^33R3)k>rpgz%zBB0cJ2tUyV zlYHnQlPD7hcK})VvnRk{3JP~YAC>1DKNy6_(Bzysc%o3=ISZLf(eR_au9XN4 zU$GIdmnvy9;&W*2tiv9p2kPesK4h4nH+EP;1ahDAO zz%@C^xlp%YPb?N57wUyspRg{EIXXC$7kd|uaB1|U7R;!az9_smym&>Sl^z8Uapy3Y=YD>ZfUb*GpfK6->l}F4ltdJ^(zl%fU|DK=c53y6tJZ#rq_(ndfM6`rS-7Sc^G4~5q$o!ko? z3hL%%p0lKKh(HB#+cyMETb68-I?NaS9*p}WhA5ue-=7pt@4>*65Wzgu2C+3`)D&#Z zcg`FoDqd5UVUp>7-f(ISHAdeH@6ATN`D9u*`+JmZHafJ$7^2sZUd<#uG9$F!3EV35 z?1&v24IR%j7zUKgH5geQA$4s5FxxsO9?34|n%XU_YO0_zUI%>QdoY|2X5oNht?Bpf z@0G)TqnCcDYWw~by3D0JKwNi8hG7Ek()r%uf_&^}2esH~oWE&CHHxfi`2porf;2cY ztx6?vSWC5n;Nor`e4oQu`7xTdUo`n_bPyJC?{G96vWyn0$O0}ea-=|OflY69jBicV zIXFB(wasY8B5=Y06%6J)!dxTksRa;W!X{k(Lq4=$RV*PXh}p#M+Ub}>k|K+%r_~-k z=TYqA5wmUw&sDrb&K_czvln}DDuS{e9ZVuQi!O(uXJjci-u5N}&3825-QoBkrz1iB z6R0p5(Q(CMKI+Zp)>F?zUB-1k@HTxu`pO#Wk9s{1?17f1uj&U4Q(Iiyz!R2gQq5 z9>4oIP5ky_N)fK$Jx*OQsoS|l=vyS@f-eP zS!_MA{NtUS|KRJ(B4g7`-X1$8A$-WhC}Xr z{T05@-PSoTEnBmWNpQhkKmxusmIVK1CBc&OQD092cBi^*yHj;@>yL4JWh9Ws3o^zP zhP+iZWB$Xca;tHL)m;7FV^CzSj+#^d3{Rab`~Z|0ln?fQo#)eI4_Zt(JkktD{~vqr zA8Sc+-S<`h+U+}f)Z8l!K^dT^YGDCwn9v!4VZsQHoa&VX%Z``~feZ`_RCX4GCLlnV zl3+_rtQBkf_6zO{kmNoA5-k72zBZ`HV?hfL`oo~Rzh zGfP?IkT0KaRrme%-ps5>*;J%G_jXs+sZ*y;{pjjCRn=8})@1KKGQZqszn{J5X!6Uw z60Xp!MNMe`So+^<*pVg*Oec;YBJ@i;O_Bth1eZO zh#v9I=+1-Deg3}lqwcrkyz>?d_gXnkoKs0a2{C>!&Ol!-K}!F?{K&|cGl2=QJB~2= zMDyO(`96aLT^A5z3UK`0^Pe)GeeJVke1uoX6NcaJ78}gyGn(%{&dvXF-`pSF$|I^o zaQv_SB1YaTaXMvIWm1|e7Fye5RYQIA@`fki0-PowtB8=2u zevAa4zxMfSQ39*Z4h_Cu^i)A_y>09grw<>cSqnjg(E@SKu0mEnY46b{7GgC1cq2%c z;=ShL+lP*lvvA!3JKFWSZw;OlzGbms=uTr3$S=IXgKNAcUOjYv3f0MW=J5l&`QcQ* zC-+ze$ms^;l5-b`GXkAj3842uxIAV~Pa<|6^z~g#3DpkpMqMx-&fv=EqVQ@oPBa^i zgy$WZCv*ukydNUQ9YTzJ<B%7W^+1Y|4Pi7nd>C92Ftt?v&t zmV-1^9f;`XAeii2xyBVV$8N`8DqEu);JDN;Dsgj#_;GH*!wR*c!Ka*|GD#bDNMQ@+ z^t!GO93)k4s1aQUiw@G(hpvPKJX2J%FnLp>Qj3mXwQ77{g(PKo8soz+<};B9dM@b! zwHyJEMv)0%;*($oC+;)Y35L*Akv_O}bNGkBs?w1u;lqZKjf0hmWTO&SPT)9XDgnmn zBn6iAoh&2RUT)@1a|_CG9Eam)(Y_|Vp+^Wbx{bgh>8Xc;REig1TW-=YRSDG@4*-V~ zToGKTadyFDRwpZ4Q?O((9se{akK?KwrzDXuJqJxE?y`qS>9=Dw9^FE- zRbCE&=Az5lY7DEcP7KgDv_hEtWHV=ErDL2s-%*C7W9kqjKSNLP`MPB=z;vZmEIgN$ z?!@X9vwDvePbKI(D_r)lfvxSn{CmBrd!x7ZrmW zRbK5zAmJX{J}jow4RQ-LSrb61AVagXHeMQaXBpp$q~6JEWTVWqr$eZ2FcX*0Qm1hl znCg?^0DUxe@2*F0O#3`Z5;D$kXP^Lr=>p}TY4Wr`!&uDEdd9AjgpE08?zbO|izJj% zRQ2o_B;iaEXn0HFFFxLvPAkWgo;spYP>oTo8Mazm9{ps&zy}GkD7Xjf^8ja!nC|ADH8T2(g0uYl5T~p>kvY;G)BzP_tZKxJ&pg}EsIz%*wNAhCJ*MSIm^~*!(%z^d)`QErrX!1 za}9gzFUc~;h+kjw)+8RnkryWOjOm5;*)o1$@;o;lAeVE-lI*%W#g{h_`>!)AcS3D3 zDW1hl^-FJkF@Y5~nb!0iZ-mA8#?Db+-Hle)Ur05?4n$`p&V@xps3Fu?@3%n{ZMu+p*wKjFmHW0DxtaqnqV zI+K^lT2CS`kCo|iC)N~#%_RY`P_9&yrL#~3Iuc2_;Em4&p=tH{Bw}Q4ppllo>`vS8 zCLqnUiNo?`s?4geq-3-iSuBuhSO%RbR!)mdc}k2#CBJ< zEhgBFxo(cGGw=D%fAUX$;0Hu-?<UQnE^y6!SN^dVf8=M+fA2kSedd`fY>J)y7dmbBNP`IiVi;17W3-K?j%yWR!36(( z^toqV`rlF{4-zTxQ_Fwlj zCI$(V)F^>$k|1CP32t^TUy%rs;7V6KFIs^lxZd9joFxGo>{CZ?Vqe$<4R|}}{s}bQ zxSsuke+ToIbuR4F=1u=SI<++5>(YGRd-Nu0zN2kNCw}k!H+`lzA6ycGkPXj|2_b_H zeOq-?J3Ie9llI~`z{|ypFJ3d(f{Vo-e#FaUS+kAqzt?Gr!##e2ttR&cAX04Zn_NGC z`TYDF-*_=(&&F4w|8T$TUoM_~cGRt@jomKoH)HOCLMOa_Zbu2&kbU6$1PSh5D#0dn zj7#UaDz$>ApWak2^9l1mE3N-BcyRl}b6Sr9wt2u~N8O9Sa*fd%OlG=Y{L1;)!_H*8 z9yhL!@<(it;L7G0E)?7kSiN1=Km$23pw^+*uQU(JRCdt=#=4h{q+r zjeMy>5qyimbs6MQYQ#8|ZOE2LsjmfnGD;A=I7tFebVHt26J+Z#^-9!RSjuCRgn;xH zb|k_#4uZGg^%_zb@=W*zlpQ?Mfo9+Vp3Ri=8Lz`rbAsC6LC29?+zHk5qGo?okBbs@ zsj%RxPQJ_oc9nHxVsR#EnQJmD%P7B{$Fq5$7OiqkJx-b0w-*_5`Jgou8XSG>3e)2& z8iD94?hdPZCArpFS7w#4T)c_X5VOuQ6=>Dnn_#MK>!Hs*HNfY}!h^h4{fUq$vIyj% zpb7U-%Yq0Ec@-d&Mbd>F2*D78CYp>BJNh;-D?KtU*y6YCT2v))nx#~RN0?&}g;K%| zQ2GK`**!nb6bPhoG8SQAp#zWsJxemWq9g8(oTh^uP=?%+ z&QR+4W79N>URBkJI1ns(R@r_wpNCxCtTDhpJif@pi?}90luprA;u*TP;-IlPk8cJ( zMp=bOJN&qmL7~nfP=VXx48WJcMVl@&Yf|J{x|vn2xC9(Hms=T>mhEThY{}wi+IPz+ zMp*1&Y2pJQSd;;26EJu%4tW4 zmJld_is(|%l&!~yBwpr^mol
8ZgGsB&734~W|uR^man~w;}9%eXH913AQH#IY1 zOcmq~cnEzBK@O5iaj6)s5AtzTtHWZYig}fT^W3K1!Q8E|GXKt9*M<)cLYNklKcG1F zz?sIi=&vRT=vI$KETB*)_&C2~X;)7iXF&z+8OX_9A6hJY2qfj3`>C2tK3rCjI@mZv zNOUs1I@1yYwko8H8O>HE!M41%p(`L=8iiRf!!JKF)Vg*Xa zfWf7gJn=7#>lb~$XtjA8Apn)iAs}4KnOtimWL>)3knD-7Y&U7=tOj_n8o33I6cU|= z94B0sC{jy)HNjV;DHnfp=C$-+uh|PA?{ zbT>_!6SMr{y(3@Hx|dcV60p%D`0n0brT6>XOD1T%!oNCt4!vUeYc=|ak7m%J2TCv! zY73(lK44yz`QzId2K4JRZkyX*J^!W9jqi%@``!A-4VpllR(dI~$g7 zg4gxujz+ydp0&2|`V+OB-+KP}2j>q5Sr1w7tUF@4PFcEhH zLmb2<8(IYdJ|NLadv zx^HuVR4cpSxJp-f99s~Da=hB1BUppP;B%!Kr*k@jGKd)5@}Vkv#PV1nb-4E+3bYVz z%xv*$;MOvc;-q}An1Gs?dy&MytR4ulSt2eP$b%yh2v6B@9v#&(6Q2IaA1g#ijX5z*y#FjW00P*-{Klk&7Cn8#BGDS7NsMvNwt zW2wXhY2=bTOZ0pyS~aPvX+L~6zK|Df1cV6OhsaPrXvD1bcyyV^uwV{!m92eoik+=@Jzs+N^PJ_@%u#1&l_TVtComx`SC1{118{>W`(t3qqUq9~ zR+jT}@_enjQixnr>NLgGDH1Y!ZZ%IlNve6KIN~{w$EVE3< zDkfD*RA0}-0QKBV3+qUE>8%IJp;#Hj1NZ}T#BWVctjvY`8k7nZIb4|j-;hwzgA@$2 zR*JPXUVbcXU;34I{R!g)KGUdU05(-%$fASDyNHRUIoK~ZdZE|d%vGFfU8mNyK6f4* zT&U`Sc{*z*^U^a)Ta()kywIL9fSGOu!`JBdv6TRSMeR7micOEN|FBQ0Xv$5 z^AaOccsPdg!`y>c2iG2c>FS%_bo8be+p#%H5=w>i$My6BvkS(pTUW1=6pk9cP93O;J;E;G{re9d z=q#J_ANi3V5&j!5{>H7>UOP9R`DTYNW69V}L=|HkJJ@(d_}kzvtcWc{U6{3?7{P&HeJjTHn z*jjHMpfk^IC|@pgcGJCk?|tRU-El2`s4Sw061@A`D|tBMNT}oEPrvoS&ph}U*p8Aj55kJ|gYb@6dMGouVyyJdDYWIj~DB z707}ZeMG4z(r_u6W604a_hIru@shd-4pi)m=pH$!|0|b%ZMf9wQ9oHdMbWt6QcpSR zRq-A(H*y)~ERkahbwem*!b@q{YGbiLVoZ8rmwA;krwf&_;~aLC$Vb|c3Z`Oav!WKL z9;j8J%N@Q-i?f%}C&N#Do|t0v0zwHFQzsWO;R1`F`Ygn zeQB)Z}XokrgU*$S`{lDlN8xMFow9vy-( zLpd+@{bKdr!6~jq5VqUFDvx*;JSdNff?y(<^u!g37bv|w_=V%f=C^c;@YCu_v0HM< zeJGpCSh9)Z)N)fItUh1HbSi&pfY#>owXA@CZR(~rkUHGVC)*R|l$AYbNC6X8K+xw9 zUvkAxY|OqeV+}tQR^+C8K}0c}O1jo>Ng5Sz;1=5v-K7f!SE77Z${>V)AHs_*-EFf} zL6BDQ+z0V{9T4&kwsxS!RJ`yDEOXT1p0veh1Bn+QLJ_wi<)m5Zjj5MkP1(h#K)J7a zukR`xmpF$>*9D*G0~~v*WPszNX;@ex0Ty}K_+Z8~IEn}c`eP9nN)bn2XvQza-SgXk zg^^9UI#40cHeRvhqqI9nSv}(TwYHKb7V3gqGGdBdF8xoS5UfqITF;f`tdq$?#97~H z;S6)#!Jm$I@K5IJ=wJ36)zA`me#8Jo+2?*Xx=kC``779OSY=Wro6guMnJhe8In&oNWA4 z{A-ieW?gVp6D$AM45+L#YVn+{;#O;^)V0aMpOw+SaR?Lr%iymh1P+*WhtH&*taq$N&0op0a$a>8Mv+~j9vd(!Z+DHAvgYs>f)NM-b9E=Eo?AxP(MR( z?BD|2*qy*Yi*<8;>5TGmM;` zD)6I!HK!r1)~#0s#h<8~!CxG}KuJMhD*H!w)zpZy>)&$-@s2;`MK$-cv-yXK$XT_>G8U25zpw85@VI7Nw3NXAlKvXuYYoi@S0pOl=ul@L?l zfXpm9Nor9P3qr|F`^KX5;Ex1k8?{LO-NwJ`87m18&SOH8-I^nQGL!;uNP3^j&NB_D$pB~=_8--cYK~?b)mzcr*i|tGdQ|q8e!@KMt2|b!VVE_>0?hkkc~Ghi#MGN zz=!*I(>6&X9OmALC!hU7j%h-Cipz$p#U6DQ;l^t**wllW+Fqnyx{NkIQL(AW9QUEf za3;87FDBwd6R)V(5Km6OEH{}|BgXJ+!5))_pKDgk06E6`SGbngSx>~6OWcVg_ESSk z2S-6|s%YW;7<;AiRiAIj1sA;4??tHEnI^bRop!!A?aFkZt?|8Yo2hSn=}#D%FFo%p z@4N|@eAlK`7iW{_k;~zoSO7Q*cxOW|-k5w1N;}?fbRS$JRHWO=;fG819Z<$~J8rCg zhy*i&B2F|n5`bAAABw}N`_IqUgvm&RGRXxRx+TF>;dL&=u$*MhIjL8tr|n77FVAKtRaKodJZov+ge}yD*;cho zK*zt`^aP7Lq-Dd?&IUI6bwh56k4lHbN)#io<|In;=efFG$Sk+RW;jeSuxK zI-B^GDK_Ih1Saz#pfSNkDh8YUlnx&o2}3t5pV^QxNNB7d`^}*$k(Ff3Nno*C}8R&Nj@RXCy0&(5aHS-WB{C>|yj&h{;yST#ZJMw1$U>d)3rq&h|@&#b3ij_5MO1vc_TGO4f z6I~K08}t@-he&EG=Ztl<-WP4@PS&R@w5QDHeCMeVd1s0o#-0l?N>6LG6Az)z@S@0=W)cs+1p zwL(0i;1XsJd~D{yxmnIrmF>zFDC=s1rbP{AQ%FTR=i#X-Pv^~~fZwXnr!PJ2F13I> zKj{iGbwzxA_>?BMu$e4qc$9%rj1F^zr5?lum)2t>GDe@#B!3sOw=Bg-IykHo)A3C9 zL<%~INfHNXB8!)PeEbUarTG0S#;fui0cNin^W5k(<|Ty_u(aXTr}I|1^{U(>h=V8w zPVxtChkL*dHq9;2Nh$B#x$|@9+83E&{dc}oYhdr)lU*|nBpPf`7XI_ok^c-Z12t;(n_C56W$W^Mbh% zXA6t}=E{Hi*(C4a#Fw=@^1!*T>EpfNv;G~CgSlXCIo`VUuDd&-KPCHXH(tBGgJU{$ zIxfoHLEmBzsek{=U%s$oJIiX1JM%I%F0L)VdiBmP>5(w-`K?#(-uD3 zpWoRU{bwHhYw{qZ5%k7mD%|I<9Uc9@-}TLq#t(fcO7Nk(w|-iFPXadnzOtDg-w+=k zzZfLAx!u7o`I(>l*Kro}oL%&fKQ=D#4NdbVpk8Ih%DLSsaqy(4pm_?4XF$lv2D@G$ z5v(fjs7i9w-?0kxn7rJTSG4G-9{cKM7alhJ&rw}=omd$oTSBSmiLAJ!002VO-5d$ugu(X8`MQ?bvjTfjgp|{-f^`i zsWerg1xO>;(Zm~&tCK~7gwV1o{Fu6AKY+Y}#FGS1K4h&X(-w&f=$)z=-6A!{Zyd&k zqS?9!+YW`>c!qwH&F#Jf3*!P0i>V342xF*f6MAd0&V~+IGqot=Rw)4orGnd5 z%6ShvHtWS5cu0}y@(@*(I*^`%fV#yRiX@ovCOHI2GzclGY2GP6Jb0zwC*eE3nMd2U z37vF&@G~8kpD`&-Xm9$MQ;}1(3<7dTwKPFAYNG0>^l^v1+DSiD0kZHs(BZW>9j%+S z*32f>=1dq0W!Y*6ZdQk<^9wZAz-bbyWn>nuhTw;@uaFF2RxU8Vk)AigGgFT!kAOd|MDcQu%G;Kdg!6heWOVdS9_Gzx`)nu-vw0sVdq*J?MO~#2r28eYAT`wKA za-NtFcBI(QF|E0WvvLw%Q;9J)xn1s7-D-_g0q{uX1>*-)fjMNo23wOtb7ccKW3lN{ z7J3x|qc9h$f-7fP$>=M|B-scSVLae~ML^dSQo^ava0nZR}Q+cA0Mc3i&&@FT2&Uc96snu4lBWS*d=}O7Y_9*J&U0|6PVR%}Z5^&i&l58&&(oP$8)K_I2LD#f@WJ1hX5#zY`R7p7LmPN*e#c3o^YibID%%eKKN$(KIh%*^(W7+A zk+~!|zj7WVxOMmcc)Q}KDm)nd&G40~`Dt^bziLt4uH5)+Qgj>t!4fs)J^TwXUBypd z`L54qRyy>5+#m5|Y)(-&Plp%73)j>B&9E6`Vh`zlvlDe>fB*ilmV1cpMkvq0F&O9X z{l@Ww*S0B(XupoReeIQNul%E5_(#9++H2kipWl%hjNf^G{^_^gzkdz*^IfIfB66WghEZP z{rcTs`4wZWJvYzae4lw=2shIH!0|6^N=>-Kc!WED@E=;mBYT8%^YCH!a`*Bpue@CR zruKMy#_*^|xcjTo)UMa($8UK{7{&R*`9IY&@r{S9NB^|h;l#da$M^Ro*w&JfrTN1D zewCEo{MrUVZn7S^d->&S*O0&*Nbqdzb4!AI<}Gje@P~i*cX=bc^=BR&pZ}YOtaO!xn;GqLmznTBVYd1i_;tM zXEFzTOw|QF@ZVix->7o`?(+JLnBK^NH*(;O9Qgl94$yn?-$_wJN6CFBB_Qt5k2{bd z3~~H9za3=Bx8h6)r0WfMBM1Hr<-oUHr8hd{V>4xUuJC}+9k`$9e6kX-PqFWk-h5FD z2f27+#D@fKK8ipw?p?>{3HEH z_s2Kw7)0w7HbXNbau^lemUMhlw(bq#pGwP^ZGT*OFQXT)?Nf31Y&<6UqY#fE8EU}p zC{_4~V<5K&!AAEk*KfZT97OVG#rZ9a^7xqcv8@k1Vo0$JsBNrxv|`L?D0QvvqH6 zj|ln*^y?3oT+U(k2>jm6E2~)JlBq2ZbyvNd_Avuc`-@^Ol#4#oqm8tG*6-MEnmm2uo1Nz!Nf`o)S-u`qHKT+$1ae#Z&j ziZibD7LSr-%Ozfd3aZE_x&v~&+0pX4O#~SNRbvh~A3~1_xqLMBQ4z3W*jT4B>O#_G zLuNsigxtg}kZTL&w9-fF$}E9}i`+3FZfAVt)RXEhT_8TV<&Z+G-6i5jADvv`iw!~w zSdAS_+XX|n!RA|!qh?hB+kEqV?W${Ws|*(U9=ThYtP{eURU`eGLJQfH@2XyglsSlU zeKf;AwJukEactyOHTdL|b4qgfCXOY^vY1(8wL-tGY-3rv?FwtjN5i@sJI`F+70d8J zy`}c$hJ>M8G;pSW@8EU;Kp})Np5I^&?%))5&mFJZh9@EC?BoFy zB5)oNqv^4bhTsf=H)R}a0v>E4K_T+5$C@9g$zGTuLKmIz>3kzP0p*X)i=-1p;EhRI z4`A9s!_s38#46df;*9E)vTx$j^~sW>$d}WuR-oy$Us;#tOXOIrZ0kD9QOzl*L9ump zO~`!7w=fdVy^PY0lY4%O9y$7iY#CR-!-+AP>1gjM5Y2K2IH z6^t5g$!-^%RYfR%l4PcM%;|LISGZ3}O~qd1vw6mn^yy5evCXL4>1nnseZ>;`a(;?A zot@6fOO7D-UT2v>HP_aHZrHm}Ew$*8iO)JB44IK6s_8hi-#{8_vOa8MvASae?}QV# zQYds1q(jE!bV2APfhNi6(j~@%DO1aiSmkL8n^_DYswQ114i(4}A3o%FGwAtd{Sf#7 zoKJ41-7-lza58mvRh~@?>)2s(Y0lF8jOTrtrZ&8~PQ;XR2-0Lawfa28o>>;dcWHH6 zy3}=S_83;$t2Q`VB-5OjMQAM7X~!PwHKCl&mDy(vMp$+obVPN4Y2@1uV$*EUe z*2WhUf+a{_u=8&{`i`ebQ6JFU;m*|c7!fuiOU1Vv8y5NJ1YDh;P?f)__jKxM?PGj=5tq7;tN)`E|m17h!{y#&m2=B2Q^z;mV!ZX_P? zgV~Jk(PuQbA*gI{3R}m?G`ENS4F-+_hrPIi^Thd(UGH^2GU|GLb$B3vh7 z-??*q=K|wucX&`s!0({pweZdFCI#;~ffdethTZ9lmnE!`9+AZcuc%j~?E+ zqXOWL|J6G`_=8{kBIxtmA9;ZD{LT-4@drccDf|dq3Cx{mp84W4NWe!YlED1h9rndG zFMV$)>7yeg;J=l?NCH;dQ$km>jCcnmninw}pPPZxn_qbQ)z8-EH+DGQ=qGlEgUM;UU^$vs zwFiWGKkxqe zh})V`0!jX*FMsLFw@CDj8*wjyg!*B+uPVneK#Y(e+k&eJlZxAm@l!h!YvYg{rV`uKy&xe5=4qa38vHczyED- zyTyrjJS98u?6Vj5j-XNqe7LJ>4B(6lHXGeuhAOJb9JiLs@?*BtN1K&n2NLXhXV`&{ zyx3}s-y!&ju!r~qcku5HkiqFBK0igd0~Wg_&Rqy}y9n$%!R*G%67(X3c0FbTp1g|# z%o0D?Mq`YWj>Dx8+WoDM+gi863@_Z^73te88McTU_8GAB(E^MyqbCI#^mxqJdsldM z`So4#NEZT)w;}Q|T^0B;L#)> z>*uhgHbpsv)N~}(*@diW%U9}YvA`ci(+1|k??J5y4ZW~rM5|{nTUG*ElPZ0H2?Jj3R)@U0C0cp&`b3i^{d>TaIbu%{H!!207@hT0N5UQQE zu<7vCrL-rOaA*Q8m5$Ch7vOaZPiwH4wv%$=*}-c)_(gn>3eI~FGSHT`Sg=hY91+Qq zH59@u&#d&Bi35SIWD7f=*>Y*YS?k$Lz$SQb%siTZ$zf4TzS7p-f3|GXuFTWikxf-$ zQzzRVC?3*dm7SCuUllJYJKhbB?VYQW6KfJ==g^g_uD|S6Y_pw=D^^9J1VEI=rbLi~7wrf`LSGnRjgfIdlj&7ILU~@UKg&W7Ys?Ec`i)I$yMsOt461Wn!_Uec$CRiofZRaJpQ~ zy2R$q(x4b}YmnyZdg*6E1b9*Z2lnoLbO7t&c{oLKCDbqN{W zFSM7%G+8ff^drBNHEi_e(6$m>OLm=4*m~YjW3_i+=7^R9zG!GpmGlLaNm8+w09rdc zfSmHUz`~)sQN!8Q4;t}tn0r9{#70>wPD-2YZWd%vFCwcO1TC59TC-YCYsG~&s&aFw z?Urt;M^CQ-hX2fLT3a3C*s;w(<4W5ta?T?oOnTbq{nBd4^8%p5aEevfbwE!{Lsm;n z&qG5oOjFBX6(ckga=Y_q6S$#2YwucNyl?00P>UFf@uxf^#gwL;oKH_xJ`4fjtD$x( zfQPFY^LR&&+H=gHFDGl>DyYxtiX>=9jM`Rdfx9+#nzOtCjeb%184}c8%Fg!4VI^vS ziSBE+tojflXCNw6v^wCY&6ME~=iMsM3AYPLPexM;>!8qaf*o${(3+61W_f0kjy&b@ zOf(P!9rm6=S>T_T6;41!j08zR0j()TDBFk%c#gqvpm=z>NWuXi=BrPemq>Tey%iL9 zJ-VwAKj`3uj+onOX`l=cO!hzDGo$~4vEP7KUj_f0F~q?=?pSwxkMED~$1C=qM=gF- zJ6?u`;mr=JRYz+Jy?&aYj&`L$=wvq*6L`fmb})&5;y zkW1sZN362PUEjjaYD3(d@r7o@*-f_;Qqr;PTNv}McgZfz>+{z>A9h!ecJ}$VeEu!+ z{@7oAd;0Rr+OC68=f}ixIf$d;lLWGFB^c>B=SmER)3}HH*+?KNNpS62u%YGsvupqC z8r~*IP`n%^xC-k_pkV*K`^oq8+3#nVzbv!3U-*91fH^CB)Px7!dGs;22VL2`{c7P&3v_Gll!je0k-$X#a3g`~@Ag{>LX+UsR&L>cB0cZ6_kSuph1rdJF~PxK^<1)3e+IWg z4d8-#^KRsfj;#G8rTq)Pl^{?Vo~xVD#-V5t0>_IIXa|O!T*vxI3CIc;UW$5?9=EbjI-yzbI086Ac<&0!r5|;C}#~y5PY@Q??5bm`wHZ&@VL0 zI-x#5#Ows|igbw9g4eYRe%Gvh}{!HatQM@^gm44y0v6PtVX`Vp` z*ww^R9Ll501)ZnQf`@Kyw3U=5(V)08RcQk@>FM0kzw5KbDoJPUNp)gQGq##vp^Rw9 zF_TrO#8t7N|4eF0xn{LhmzCO+q^sICpH&%3H#3%|LwuJl0|iMLAzB-gSfq12FqYHe z$c%<_2dZM4_olbx%rt51yeTa-c}e_gkR5ud$6Q)+%Vj)jHF%<@kBkV+zxNY^uDT@a zJYgyYBS{okJLEwUQQXnUaP)Hb?6Af~wKwhz!k+Va*V#m#>wJ+Xprld*>%`2@R*6DX zRn{xSL}!UHBj?0{5cB0}l3>nGvtH^r{{{o1xvXnV7W@@#x}GJ8g->7RI>VfevfRwhMFES%SU4CRDjz1Y`tiFy*|rDx}MdnbEtjck!(&K3o_@oargwq_Bn6gGR>KzD*UxmNdvzM;@p?trHeDOncWW!8Q|z%DJS)-{GIPG}ePZ z947J)v1#MI0fuwDNTpzV{#P@c6gHas{-Rtw_AId{`A2?#Uhhvj$LnwYxBk&tll)h| z#Yth0qcEH`_5}aiZ~LH&QKUcg>JZn_i22=*K6U%|vH@$h{v)RUTTdCYOW_BfqYcFe z_c&bUKa$1=L9W!~Tsn})@>_(~nnwnLHrVNpg6GPtt!N9Nn$Vf#dFBcx1|}{S?uFcH zhk!z(ZvimfU_CTEjgSuAaH)iy2L!dP`{ zNGh3VO*^s1iiqf3k>^-!<7g)71i+Yn+WJ@L!*mc7hXC(^B(rfXl_Wf;HR@zO z7bZ(7W(s4s3i3gVFF(O{(%e*8N%uPb6V~op`BWH>e_!yg5OTjwmEu8g0 ztgv5%*$hICCCYQv{;aGCs`|{bvL)&lezmPbFysUBPHGmIj?`KZt%?p*fS?-M+8P^D zo9kJS${^jtnPOW}sl{r1TEb3wqeJGM?k@L>$!V@SJ}H)V!ptsPc~6%`PTg1s2K1jN zXR~ffXWbUXB6SjBIz^<$bg^`^9rS5Kpof24>gp5I%U^U>1Jfa>=_@&5} zwkK}E%;8QZh}!@irgW8tcv_TRy7%Ow1KZ9V8coT5%7R{!Oxr25;Avub8Z3E+nohw@ zE#mbWYnW-~0o%2?-JbVs^qAMTbEPqBNifMLb0PmO2Jjj*ho#9W04J=@-s zyP$#LN%G?pr8TyI=dv<4crbWX8+W9GWD7H2d3FwGzi8N7PqSzZ3x9)Hu7-{<%tm`p zxiZ@`7l!l5atsKSTCL7Bj;Oh0&K#k^+maqPiITWyxsUXsIRv-L$+<=iiGH@%=GCfF zCMU}}$UDqF4X2p5rwYC-!L&&NAvy_mz1$b4JPCN_NwU=ZV2>I6HDR1lxK!C5yl5^! z-${68^HGr(8KvE82C-vWsU=9mP((tFIf%vL2RT@Dk%}1`pA1D=Ex&ugh@{J$BkVNo=4iK^iub0yTgZ77FiIqB# zo5Tj6K-q~BcYx_&f(q^=CyNHyc#Q}WI?UOPb9Zsh4z%#ki4N0TsYm|-Gy06C(3{!3 z-H_iC@q7Nkv44xh{^L~Kc)k&X@_5KE`ZJ0jEW&E8Y3sA^7C!h7bgUZvwV(bwF-G}a z1`+>N)`7~G1Fm+65{OuIY@z+DPm(}X-!UM0n(4d4jMwdtoHOfn`y=1` zORUkoL&}HbhxtU-@UnhdDH=k^45c)fa_{84pf$X4E_hdh=r`OSBf&c#BSG=P+n@eyTv8i&@WjU~Y}`jepV-q`W;Ey*>e6Jr<)t3&^?DWyo!A zCS3Y4s#yn}hW5RJZtVOp6zh1y>DoYeqvdMfd6!0PS~ard(Y3w%oi`TmE|~Aa@HXS< zQQn_~wZqTt67AUSj{Sl)2(XLoh)yrW%~dPW}|_NN-FHi$Ue;k-#yJf!Hx+ zvw*jOl77A=b0j5@hUCU1jI@DlywzAPWC%Gn;_OHmLrb^-UVTdSY&7iq-W5!_&gSlfb$qlIym%Ae2b%GNDiTeTHEE%fuHw_fV- zqR`SnW9*E69W?}{t8$hujQXWW=?YB?E$yPGUeWPiRLDur>UTL%jTCW*rq~$kI<3kg zA4?0lMJtys?i-LX7gS6QejGv~$fVCUQw9^D&L>*n1}Q+g$(r7pv3f!y{H9(;N4SR! zNU)yLrNUGV&yjmgoGA|m3PfbHz@t=(a`pIzLNWAF{m{>14zMQv8oig#U+Gg@#4@(G zorMRsq=Us4x~)}&hEz+((jzlTNQpDjQk!LmE%oTQf!-RTo48py=}31+pLj8|c0rVu zeq^4ys$<+_F4@r;#3^CbV^Z0QC0kah+kqB4Rdr&i;b=tCJs3DhoXJTo@h=_Tjtn6N zMrw=;$wo?#z!f>cSOqpRNl%Y5rPZQ9LP*+{Z0cvWwq&FHC}J7ApsGRd-?c47-nyyj zChbh$@tulGk!I^a-mOR*X<|Z@bn%Qj z5;!@ttE4__(AJqGMPg5a^Tq_-8F|XH2^TrH57c3JPrMF}hpN)|Y<#lPV#(0LIapDI*5C?`E-T zp0Re*OK>i)5aE&xaPTRGn|g`C(a_)ypj;A=j3rB1=-QiP72Tcc2ccS?!3-BlCf_VDv6oXR(aLu`1t|}=0#j@}V#W%$3rQNp;&I{`WU82fASk6x zhR2(w*|sz33DQ`jvL|_9mW~gomwiZTB6$K!sz<0lskC%R`+!}l>~%h*M6c6`3_kHj ziUAFp@Go<37(t=-_#CVrC+^<(E)^j!zF8?_QSgy$%oPfDl6rpyYmunzn-vgyHP4+p^+wb1L3YZ#u12s9&S2eT&t87w`34c)<{Ad z^FrDhOGmFq<{`~jKkxxgdwM>me$@#BW?Tn(J2J!^Gh48ipMK^EY=bt~$l+c6#`K_nT& z?MuKJb>E@{QUO_j26L^=MHAwuo`fdyEbXUU8d%3BJ5{QKZ~?7xAvip;#kVf<%^tu*9ykP(bH$LXZ;0I?cWGjRHFT>3``O z`+29vs_y`cg1DNH4>$*K1gwmk(03;~1MT?A6@d->;F`&WIpM}vJ;5<#MFI| zy`!@t6WI7F<3BKL^$bFmRDv3%II9MQ%lXFA9HH`w8GvY6%E}UZ|9A19av_NI~l7fVH8TaO$7?&g*)VaNy=g= zU<_bIlEW@f96?m98_2P7DG*-044^|U9xr~@_=I|w1e1!ya^W0gC=w<|Dcay7Kd>`n zzOTrllI?nPNG zPnS!7Moup*Z69q8U+Go3X!K<99NWT5&xN+Hn87XP&-C6~btP(1BH&TcXKx^I8B(RD=rJQT|zI-_Rye^Rwn0lVjI;*D`UXrh? zvR-&UNojkGv6-(Jw9C-?3;ezOXSJ%d&w1uWQTQtJfnvjexk^(1$<%K5y3D20^ zaIkgfjc1gJ(NOQFd`*zq^}3oHOGQ;t=;6|!5Q~CP&M3NUTCY*fsd3&xMPTvb>Eirm z&$3}&m6LA0(zltpp9Km>YAdMt!qUMnn-N1s_=(yhOn5I-{0*-`(Smuc@v&;rb?Y4ZG%4pzqD)s%GF3&8V*g;uc%=>*(Z{e_j_JYjfixu^~Z!;PiWdG@cJ zIxME3LU>kD<9{N5cS4l`JZhlJWy^Ax;SGm*A1OrO$x<84Yyt~P7Y?obC+!I>4W+Gs zZtW?8ay>m`nJ$YM{Mz_suJgKydO4dn@Xd2}rt0yx!Qb@MW3W)3Os8k%nu-5BuZy0w zyD1a&gy>oGLk!c&*)(O9ugmjkU3e7wJox+Gnwrv2XA5@BnmN8TVdSk}kWnm#W;?1{ zjVME9ju9GG*e)p8ELnFveMuMmDLK*xMZqENUACxC_^g19tVH45TG8>avmk(P%NJed z&T`e+zSAR146GQUWLTRE{J7B?T}-XGmHW8?Kbnv9N1cu#u6*kp6ytXuuK&>O#|rTz ze`B6R@g#rq?#D~;M1S){iYLWj+A$A&%L7Aq%eP#XE?A3SYV7diYi*yj3y(85o~NCY z<{3-oSMd_9OjXiMdtC|v@D?MFH%Mp-55)+e0Iy#N={p}V2RBP&E{`yE zWAezhF=tycy4kd)qEnMvghNlIIH>Gay%JSkMaz z{yR5(LYzX0n9tU7@{FkfeyJ%}G*H-9;HODp9b`;w7+Sy1vSyE%tW8^W+j!ZDdv2$e zs1kIOgq)*yY2ixjiN(_u&Hx1zP#Q}b{KA?}T^pr`i8(N*R7cI3w4&v6AK7rz3+#L> zV7<{2a<1oAvjO(k3A zO}Sp=)N9saBJ;?*jx7sYoTfyL;3hGRPnwlaEJeY~NlbFfCCdoXK&bufUO{mc0qd;F zy0Q#Fm1b?1ewncmV}4d?J>_a)pG*>pm$l!>U)N-s(C^fb@YGqmDaZqrFQBOI?#O^dXCn$z#H)I5jalz3j{ znIku#n053^R9oopLD3ssY8R+iybIY5lc&J(=}$_F)k$3HFEt1CQC*~cs6zFFcHz4T zUWM^hZoJJZ(^b856%!+ltXh>T(pix_>DO~JvBvTRWWVaulF#d$@2M|IwemESL}n}0 zb(Cn!q%dGj2EA;=5lq>Q)2-oxk~=A&DAJh&@Mm`j`xx;k~Hq&lHCDBVOwe!<b}q|HGPi3$x&>XfhTQoo-*Vm5ro_8`)?J zpPCE?2Y`y$f@-paM}rzow&%FJ*hVWigS$)$)-&*oQ$P#lY*O=bCR?ZJGMO#WX7hyh zd!A@oi|U>v-C5qC@+dcqBP1R1GEtxO0)TTM(?x$eO;=NDF;zze-q5jLrg9@9ugpA2 zL*Rt_LKHlY9Zz>cctyc7fm5EEGl|&|!a>(gPPscUG|3C5bQ!h)u?LwYFGDiDB4Iv6t zfWD&)y6nQK-76&Rr2%$1^eQ9%3Q4zIK5RX2-yg^ z^;i@*2;rxG721ZtW#^V`?COCjzg5_Rkp0oh9ej8c8M{n)vH{0sB{Dmc>0wakMo~a1 zShtNkRdEf_)1ITLTn4XhSHuPa+&57RF3hokza7J43+a?8z^n&zbU(_x9XuX&JO9d6 zazXPOjHd(I)pkoOd>psmQn2gn!}E`Pd^3S|;=)vvfhTV>MyfGR(QD;!%u{__89qKj_X^;8M6a#@}7NSesenu`11eP-uuAWRaJ-n z`<#1j=H4(c_d*~6LS`R}8mY7s5k)$feZrrhKfusuEJb`tlp<6VkUs{^pPf5*;EuKS zPD`z}{yEc1rBfcDqNNqXxdaGQ+Q5@nY_*nDs|Z>LA%Y>{zVF)So;!aslYss7)%Sj9 z&D^v9t-bf!YwvT;UVE**PjTbM-D)?tOM32&^ofkp4!BndG2^@2MK$r@#DiIa^x_n} z$!N+E&dYr>d%CkOokqdZrSsMN*(q@KJDOes1sqH4D45HRl~nh5$nb<(PD>^((}hmb zJ{S+)>e43$GYz7aa0+wPkd-`F`m7YV0q6*$@cmMdRgXJ+4Stn{W%ry%R#O3XZFPA$ z)`O;3;DutD7|HuZ-a^9RqI-9wr3UV`P$A%6fCv}zN~nQ{@fC&1D}y|yVze}v7L~kld>v7&=5o;@mi+74y1YkX{K|ioC>WAO0WLLV zHcCi&TGFLLq7XWa&$vcNGW1t$%eHP=5pFfDFEh1THa6{GV&=0wS z16>YT0v#}dDD2bxJnPZIAygxbije$)wlO8f(paPnq+tu1aiqBd5_jdImE4k1EmCd- z)YC7xz&GaS)(gE7V+GmR@W_amS(~PIcuH5b3?}Gey{T^KDPIMZlJAGYiBcFLbJyJ{ z*W3ZLp==6`k_g2DbqeIH6+)c7gqT#X#-&O*QGU5u9HQZLIab59jARLwm4%of z3SwWvQ$X%30KOZv1?51CEbmk(GH^I^$}@}#&LLvyvO_=#@*s+x;W#Cd)reBVLm|!5 zVZpD|T0=g2W3?J1Igpmnx75O!N(INMDg93gj20~{OVGcN5{lv`k?zE;E0WOfur#2x z2m_1>G8jdrJTYjDp>aA081On^bcC${B8Xu$@RPO;2WW(qdt6)iKu!BVAz>M|CgP%! zAILHH85FyptZ?%DkXv9MsI~%mdW<@Buv|T^E z2s;JJ5;JPR2&hd8lFX!96c80K1+$M zrEpBcf*Obcosm$SkpWg3tD@^enG{PA9+gZ7KM82{Fts$W#g=X?u#5{PFOOO*9yV=D zsrx{shI*+KQ&F9@IT|5(!J-Zc0F77^K+116T7Kf91Zg(lAYe#Pat3Y0`i(r8jfEd@ z#Gvwus%DmMu*xuItksee@!2SM11jGj#dBDKQ9P(-G+HxNA?Om$RA`PI=h zO%{rXlY(f;hqDJ-P`0XM%PeP?KcIAc@pzSKa^<79x^Al1MW&Ff>Bx>Jr zpjJ$k(j&?ISWkLCO5mq8}J{AA{y$qiR; zzIt;OKT9^EPF5$o3G>AGL^eC`B1$Rpo4~$Gu1j zX0d>sq=2(Z_d|iRgx$4fwPS$efMbShS$oG|Zz?gTmWq=!i?6+H;lg&ikDTDF9&~Pd z5J|2J6tb;WTeE8U@~K}1=_YqPvcGXWmQIvPr4L-?E_K@uk!7da!gew_XHYF%D0NF9 zqd?7|pgp*8e!Z>Bz=d$Q~p) zT$mReCH1iDlqZ5d#L3KSvy8k1JKof#&92#*%Q?b$`#9!yVq9!NWjuAIz{x^5#da4r z|0J}RPYh(C;F&`>3wE+Z@jj2;^Z3W)3}v}zt)Oxjo`kz}Pv3qhDDJ`rU<&jt zS6vEvZ@ys9ObX;t;V5v=3)6XS;C3mUq#(0fm9uM~?RmCm>(&KZL7V~03mZ3109o|L zX!&wvYA1&?^kqbKtcO#{cH7{#!NCW|JG;-bT;gUmXKrRa48@fGOz=#=!O62y5Jeb) zlP!gfQK2x=Sqb3r;3$#8r3RtXQP8pG?PU1{acV+`t=UO(rVJAoS&zVR7``3m1;YT+ zfo3RKC0F!jN*gVHPbsUd=sCokMAb>HPnN5gPzE-zQ(`8)feI!A_@;z9gU!8jtWFcG z2pCdldeuzlIE@FwL~emN{z_V2mZ|W$|NcBT*`-QI2asIt7z=y5*OGbxwLsW4c!hnj|o<=3!Bg zDwtt$t#isPH33Cp(1M6UiB>d;aoR()%1Ai6Rv!Xa^sGWzQ;Q@oGjpLsXs^2*+W9nb zfaaHynffKp$fa1(TtkH=Qc%)NnSx4yZ4pPX0G@HvFF)YyNV?kar#XOyI;AN+;69ma^PNj1752cN)E)Voa0 zt3CwK80jJ;JffI~B4&A(%xHeFxwxtaaCdi z*B#1Yl|k4`5*=7FY2)e;gCfV_CaWIQC$n$Syh}INL!9a0xv@#k$lYhgjoXB4plPHw z9(zvdZ72yVYFJ99`MzJIz7UJuf{AspDRx1^5?r`~1d92j4B4u?EdwNfjeWb^K?2;r z;%HESQc#N$apmCw)T9-mh8)lEQ>fB4y)Ij1n=!k19TQ51F`YQllTgzKb;UOGA*zVb zt4g7zL8J<|2gE5S*S)kUC1 zuMXLQJWguX((UeTga^8_R)VsH#_*yQ{=?rJ#{imc!m&?>FMNWJ75 zoNjgIi>Zw9v?tsf4kSR^P#KOwHZ|ne3VX?ISQ;JW`>=tPkyjUkQSV0|0oB2luz zQ8mWOwi%SGqhlC3^NG-5Th*-R_|}5pkjl1PZZuiaDJd%@!Ag3j!q{-od)-=MZNe^@d^00o2Wy0qs^NTcg|=ji%dITJq{B?MJMAwMeycNl+z5ab`#_`> zmb&Fi-TK@<=+jHQ>9L`=@`0gJt*W_6EH8yRS38tg3ZN%TBemEz)vz6{$BH-KNIA9) zvyvSt*rL=S9X47SX%3IJV5+k8L7`OEBl2XJ0g*fLuZm3xhZowi7~r;s(*exDb>J46 zEH^wKoC##eMrDKK-m120!w_uQ0c&}lK%r18YX?=r7|@o{{WmpUOpxG2Dda2z#D^I` zCsgPmu8K7g<&BoANzfP(tg?#bR*S`^m?dJLoy;x9&nU~790%rM=bjjzaS1zX3>zxA zIk7>|f*BjKx8R9;QQ~*ddE{il%#D=D+AAc8OH*nt9A@DeuCvpfDxEteaXCe<)0D$gzEe0&(z?Kr&S+2 zVlP7J-ls0XJ)bYQx*>L;M)e-sd#oHnr7l{u$-D&$6oM}lc;2a}3I%J|u7!d{i>6Tk zwt|9rKZOGF&MT|I-T~D&u$*`)YrhmUr;sGl{=G>1N>&5dKdZW5kkx$>cDnb)D!#F^ zpO#n3sfB}k4`y%fwvPLqLZ1uIbZdojuc{?d!o6tssj1BKKc)_zDi$Y&HEZ_tCVSfL zNBOXi#Ox~W*>lJXBK`B^``Sr--zC$GXWHLK7x1;!t?jKMEAu^9_f^&L<>SjG$HbEp zPl_wR$8q{5+VVY{RNK4ff(zCx1%bB&VC3Rp)heMtu_7aDGD1Obum2POC*q%rf)k~jDSFE_t zQrX*~Wo_^4OV<^abL)+>5nK`{f zNnRyZe(8WgB)^tN967 zdF!L?kU#5qr(OI@y4cPv7FYR_Gf=}FS_o+2z_70QLsTa-0Ioz2gC*+=0jYHhA#|-s zLDE;!oD`U8c6^e@6|Tio@>^t}CJ8YmaelZdP|(a}m=9F2J`7?$F;Jrx%?QvTsZv8R zmYF-k6mu5WpUSXuS<=Ih5mE}Weo^BW$)&DrKsHS+nt8l{bw3MNZK6C2Sx~~XM|rL^ zD0R9yGpfKDQn3!W8f)0JXviw_sZw#QFdQ(Eu`&;Jfc+tIen}`2exiR;#wCP)V8O8p zc~9vy4g?1cuG)>bG@Qk|LRdhsE;@9>mHnf_Zb(I@9kYpTP(iGGW5^Y&m#m z(6JHX>biz>*C{DYNt08*Ag9C#EpY@}l?+ffNSQTj73i3=w&1fg3;|Hne-IR)RHkXM zTdM#K@aipF9_5~}a^sQ(Ev}1)7|A*XM9EKbL=!?U42(1iTqJg_wb3koc=S&}rp_fD zVR_D`6~!EooudW~xyYqTg-NJTETPYH)gbHVnB6O?m$4^2Ed@zc(<$Pw#T|FLA$*p^1+f-;l&#vzmb6I?XjD4vR|e1`Zo8H|R9l!=Qt=DHO(( zc0R7gdEA6O@sP3t(c`I<5XVOD9=4JYRcU!+oH5S(A%zxp(K=~}`c{6>Y8XdusgRhV zxRhk&m_(`>tJM?NmVj_Q4&pd1hkOb4+_fR2tZY#&TaY`;7TiRLGN`?Wu(v3&o20=0QFYjruS=xAZw+5$9+uo-z*rOSR6z!wE`8X-yQ}3z|TBb)BoMqzD&6@|4nZ zr6OhdTngG%lVET`YET)5!iua+mU$ncebu&F(7vy=tO?ml$fMYfC>=D%T(O2yg)l?m zaxa-H*ASiBO;g8!DPKr9&uia`wZDl`Gyce>qt}5_<08L zxzQT+WLbur4$j293F1;QLCEv{QtWOjPdW+K;RX~V9fsTn4K4at9^i?HaBPQ5d_$v~ zBULsEH#|lIIp9o%Oqjf5G~*?_TMMJ*F`j0u-_e++U*tF{F91Ub;^L`NLV*qWR%{S! zmqzK07(+0s8e?6vD(5LM8sW)*|MT9(xkQPcBm!cv0HSJv2wM2kx( zQe)P2ic*?5%a1+U?rXtvhzEH9IAo+FL$*VS{du8Oi6RTRB!N_A4>zZi6+%AS6fuH8 zjr`HEkOQy5Ky7BuLoGC02a+O$H^+kg!d+r3=egEfHoaE)D4|DjTe&+A*(GDl>Q(LP+#5MQTapo(_0KyRcjTj}lLf#Zn72NV;bN zV*>f^&0{@80#n`a%uYB>U(roZ(_qgS#n7}2M=!Bwk`7u zgZZ9j-^4WS-U-xs$t4a4d0>AOh&4cdTE0*qI(?y_x0kPwcHlvG z)|6{IF&zrr*E1(EIIZfEHfKzs*X^UxlrMCME81gA*ut+rLR1-|Ka)U>QU$Pbi->Ib#ZJY7}ss zz@0{c#Jch%eb0c11%1W0oOP;^94Q=syri{7B6JZ>KQA>41weZvpS*Pxq!r!B8Cl74 z;Q)!)qcccVrejC9USrJ72kz>Fhh2o3e=m#Zk=z|9(-uRw`%8!j4fY^O|8yF7rSlSF09K}P3)d%Z+oNf z|AY_cifrYiHeA}%*S8hPY#Wvi?ZMvm9*M!%wN&q%cid)Q04?wGR4ARGtH;lG=W|K0 z3+_v?&gwj+VD+xmkkH<}w*@~Z4&J-59jSP57lDj|1%vj^)?U%Y_o_XVBfjx*cMnnN z{P}6o`%)nNF&hPf-*zK3mFiC%JaKSOdvFi>^(9L;9=~z*^3{d3g{iFEe&aSjyA$_x zzNM>F@YY-03PW0Zc4hof>kQB{!f9{{1*=b7ePS=o+j{$g1xq(BUC&o-X`-|+rC{%1 zOU>`yEsf_K^2{#aB~UOc0aGJokaa-wycXcW>IZ3@xI@faRI$-XQH44z0E2e|Q6fVG z%J6u%*u0krHMC0qcrO(dNg78)+lH3-FfBN{GGMj%eHX!0+PQM^x<2Jz-IGC=N(L{@ z>To@3GuE>ZWsC0W$V)R>c}MY6E?uXQb6#m2&0wk|7)CC!T;vrh!kTq)w!4QA0LXditnh zd{dgVwcE3l>09crq#8J%YM4ASJ=Ds##_bynG!tO$>sp~kJxRmpL?g0kJ`kk>TT=$! z)M_1dE;N)a#8Zu?Sl2N8lVB#jkRK%kW!D9B(TH(INDL2RP419(GBWDKmCI4pGsRG; zIEWqgh~Ls4&5^opk=1P)ws-IBhZheW7V7fcu^+jG`PJRK(Ca(9GIKLXj_9 zF`F1=$R}8b@ZnxpNGx4t6GMWL??W-?r*2}|;pz|&SH(@V><4BT2M|`LYZE9J=}-K= z%TNjenFLZv!^GuQwQQLw+foukhhg#!3yYjXU=xZnq_JSdG`6neQoRgsgcHOjwyy9} zj>6qNRhHTrGoLP;td!KygMEA`*Dj4q~BG9ZNi7eU<8J_)OU{A8>fMZ@Eu z9M#G^nFGIKbrE{RWL*oQjjS8on(gXh;00*804|Vvr(Nak?a>MRWJROYh)8Y)oa^q; zyz~i-#9X3V9156-*DV74rO)ydRj$dXrlqBr`lL{aau@N;0gufhOAG2O@hj3INLky# zsCQhKFeL{v_{dZH^Jb*COZQy5`N+*j3ZBS% z^2RdN1w0^qR^wbi=+BWN|N{#{X2*NEV^%a(BEq z5RLa`m!&*(60lp-xP+bB+qU)ftym#FanVIeegFG~Dh1LHLcs|qOr_x1V++fV?K}2AfdbXpZH`P{ES_Dtzk4F|wnDM@V0(YZ{{1E^ zc#DPd?ymF9wmv-WpmY~HyUS(&x|}VIoIlNyKDDS{E|&F^tD{`GQqjcRLPC zn01r|6Ia3vVO99_uy_;TiC6DMF@iC>Lpv3Y!w}ON1_(deWJY_OZFE983k$v z1!_(T#?}16`A~q#2ir%`5DzZ6eZlS1D8T9;Oa6fuMF49)nMBMc0q(z4s+YW!GVUG< z@MLN{>jLexwd_wBUo(H>#z9u!!0gz~gS@=GT;kK+>5_Z@Fc$@w&d)_bx(Wy>Lc!9d zs6+~S5=KnkL~Y4Apo z$8yk#V_4(UNm>hQQm7A$SgaZX_E!JLjidKi56t)J4TiS zipY(j!wB3}(wj^QB;;`wH%rkSjJX{!J=cUT3at$}MXMVdDC-d9m1*VA!{z57P@an+ zER?1;0-ZD*Yyw>TWX!%kvPu;h2>UDCyqZ7DS~$TG`e3+|4(CL;;wLE$^n@bgYK~bJ z1c?(mC=zXmK&hm;B2Y?TI>>B9z_+RP$VfmI{zGPX6dK6E#(Y&iKB~(}>R1kxQATYE&)JGM}Os>+BlFgB`3x zvoa0D+H@?g!Da`>#?r-!1d!QbMWTg*SRy#ujx?o<5>VD%ximXG$->iJC~<{Ll@Zyo z6BI9JA+wbo9+eQ9Y}_(I&MqE=K`B;SAx+k;=pC!HiOCnGqdq7!DxB#}y8Kee z?jVVi*t(?wxKp5+`lVcEtI9xx=1)d)J(T%lqNPQewi%Z<#Y90@BST=hbbwRC#HaaL z!Sy6G1*pj|+NQ)%dIz-V@lx8cyFiy+<=a!x++-FnGAV;{EPD*4ouB1IxJI6(*C<_6 z9)(b`(T2NN3hd^}G6-fDgc{9l1a~t)zsWQ?qzw$CAVN5%ASS$#JAvBoLqBxYoJ6#*_%`O^3Pzc)x^khs*jk{W6M{APQAzVw0 zJdA)qYIWC=_H2xtNXeEM4k>I(MGiFA7`)hpz6jjB9uKo9+4!t<-#2&ze? zn9yPlwjO1}up_~Xk%6sSVSZ?baD6K(QL6%3KLAxlR*oewW6CJ2rE24TU}zRXAtM8FNG^%s z$G<#M&AuanuUCOm95oFXgtQJ`NwyzQHpi_iAFG~_)8UlIT8k&O89sRolNqhxAim+o z{DKlY4EzEMk!e`t%8y44uMKviqgv(F(wfpcqynSFP?>bwNENX$k#i7u3LC@b4c~9+ z&@_`ql8mwaJ8(ImBQ}u0gNP@#6ljDn6*THin3>@1l&Dpjo`y?2y<15v$%iJj$*n#UBD%SVf<0mnLcs6_}TeS>E zt9WY~I3oXPpYt@3jM0c;nX)PbPXiA|HLyca0ewJ@MAUXZYbF%n)6X!r!ci-d$8+Th z`|%EOl^Az*Zqw6AC7?JL;*#Bw9yAIq2_tUbaj4ErW$gK@oZFBRAL~gUXSk74lQK8q z4rIP5?g|%>0=ni~G6<)I?wV3+-_? z4^Pu&KAifd6{IS6wc95zKl$Y8vC~8If$1?*%IZ>ZJ~d~**F8{r?%=&&;PWq&^-lVx zmsPooeeeVOr{LuIC+|Z+%7JtZV(>xa5`Jr9TMBP5Dw_w)8ZPFE#NMr3rrV8eDYMRN6-A4+TXi5ZEsTkD|}T zC^^NPafN)*lrKf4IU~iL&?XvhG~eEX5&G%LuPM#zE!6~lxva2cUo4&?J9q5Jvt8#I zCSkWO_xV`^S@aVz?P#_2>)(=1d2I1T*FPVb_8fM;}?Z zpmMI*Ui?QXXt^Cf`&J{e^el%}?2DMj@L2Caz)K2c zf-^JRDfK{(IS@iOJ#o)*9{d^9GmkRP=kBI%+|T1dYP6kvO{M23p)-MXsiuol>iVX7 zW{;hUF~iSmQur&gmWa1qnm_3xnU1dlR<~!msgh5A>3dqr*e^8F)N+$Ia|TWEw507N zLk-T*IO%k5OcG-xtEEV)Si$kRpc-}6MQ9006*uCS{f$YsnV_W<*_{rU8kW=e_*FCp zhx{36NUW@mj47T_Kq5uEHmB{D5Y-6GmWrz_nV&R8N*M8vZeQtsToc#l%IhleXeJ|Z2v{j3uQ=Lk@7EB$Z zloL+}BJ!YH%YsV8psDGT33K`wC(M&!b_xc^L|m$@-M|eAds?Q2Tm-6qu_hJrB`x@8 z;0L*Zf<_^$O1jFN7AYK!uOU{_~*`i8=+fmk&0ahaJZg~>U3brE^pES8E2ASOdN?W&RsO0PSGW8P%0`vaZy}3FcEJh zmW2i4F&j4w1jINoEK<6z8`VJ5&sB~wGl_0!tozG>8-^@d0VXB0+o2No5)p@u%k&T$H6BD%xi7?ogBiS;HS<*{W)1|ZnfM{?9Dl8VcgCOunHj0L<#73>i zA8HL@(i=sM2FJbCB87^S4XP=|Z0RCcXX#SLe!*34Wf%%%11C}~{%r&S%EwnPMiHxR z(Wu{O6>x`atJG?V33{5Ilw5jil#|K|ttshsSL}W-bhR6~iNmU@rWOx|4xVb&T%y7U zWnSpj5R@)bOtZ!!yItfiqOZp^dZu-CJEl0kaSZT>2s+Qrhf$t zuL_f{sLSok7}4NPiJvGaPt61w#5kz^V{_58;bih?R6p zL|C4I65maSun3lzZhDjS=_P_Z8(2ssp4Mndj;vf&L_JuYlhUHEM}|tZmTy}ltwOU! zyloO6F$!#9G))hOTb$!adID9}*1GAi^*~@!3Ot?O&O@|CfPQ5a4+^lo;owsac2uR7 zX?ckmsU#+W>%5t!hso4*Y2Ax~6&aFzg{em*YzI!C-SO4Z6#1f)V03a! zy8BP>6qq}+a^UI@%^KZ_{PrzM9SGw<>H8~R($+3{mq~H_WsjvE)tklKw|*WN_qnI0 zqz!ZsGUEZBWbc}Hp!6(H*R|Z=nm+-{PYh~!c1!_FTn47|#^BcAu^n@R=qzc9n;9o& zQBLjR0&Kg;B0Fx~)h@4p0jWu}5^2aqx!B2Y*9U4*4$t7}I~D4k!0^;a{F7M487T)2 zYHCWs;8^i`20l5TggR3b>%4Ncoj>u#gp2Z2#Qk|;lKK|Cqba7O$0*Ub0-eqkJ2>T1 z(yPWVe`t%CAx-U3S>T-&L>yxs(DL*Pc;kd_5;msgNEFV+37BbVOp}Nwg=e3UZ8RKV zs&qOZlSon;D8d>>X(}(NiWckwKbiLhO_8ZmiAJzz0Sm)|z`|hbSVp4)_S8W?DRSkx zpMeEO)iv?BovA79m6L-QLuFElmo(lifY_UfHMqRVD2Y~fVXD6yX}dmGfR-6p1~y6a zyDF6Rh?k#KBm^j>T}sXJnzUSFAw`;?w7X_B5jh=%!43jtGzY$uQzj%RomAbXxd>_Q znxfn}D$oH}7Juc(-DLxQv8}^y&6E{X+N${r-Bo;J&KHww7SPfzOKU>PE=iw@mQB+P z<4fikF2s%~>3tQXMQCz>?+nYefCL3tjKJjQ%Wp6qFD^&J~MIGP3$^hlvr8J&74qsvPL-;y6=Ckg;X{ihbY2I#J{@;sN;^Dr8T_u(!G`>+(Cnt8L6i{i^n+<5J zh)~+7)#kbtGy?Bj7V|ESxG@hs2me z3E$vTX)W%2@)~ePMxzm|NMtK4_$eOI`#{--p+8!d=_T82>#cyot>L*;78cTQx}sUM zLkT&pwi>5M9rDxG55S~%l`=BaN7Sfo4k;hvA+2IZD$Rh5vNLl8{1h^`6I3cTjM$-g zq?AyG*W#0(aLXg0*#E#`TV5>7iOJ|lJ*IgQui{un1?vN{#hcOWB{h)iK3kH@l?1&Y zic?Ol;)G~b_F5dFjYv^LUzkFz<8~d{$cYt(?|Gh&=C4H`c+i}neX7QU{lYMI!Kgwx zQ=vGLD&Z4m$sQ!sIZsOLcXdo2C~2C}68b__XLGE4BX!iU6Erc6l5ZNm0b^F6%X|*h z8sWHwa?u2JkhHRa&R3F@P@Diq2sZ*?GSwdj#S!pFmhC)vpGFs9PP zTe<=xijm=Fg)lL6LkitnuoI>eb=?%L(UB>$r95Cz@@K2qy9fcgsGIe2%c?>INy2;} zu;MX1i5eCUEzpMW7`JJRorg`&!m?wvGAEPCF%k+3D=;UYR+6g=BVMICX6SvCvQ;HF zBd^v9>`#1fcnoUJC9EqD2pKLo+68z)w@gDjq%p2ZLn>)f0z~=jEJ);0!YSnP3ELzf zc6K;ZiM*laZ*lGl92XX3;@5UuaU@)Go({%5aaVLwR;aaGI`Ph3p&HJut1Vl6@Km%V zOHa$_UfZ8ps|Gg>E)uPq=|b^1@@bs-~w{Qm}8;3WbI>@{kXkc?0sj4 zSG*z!`uff}XF3IhG79K#ifU7Wb4lpb$l+8Mx$~@unoa?92yi+Qf0wM)m;I_5n41E{ zKH0=Wi@2`fJ?L@vRHkqI*TTG_jQw@olJdr992OUeo9)r1j#Lw=j_czz>zfXHSK$q= zgzprVcTTM$TuUfnd4laO?(1-4eA9rf&x3L<^XB%c+irFG?Nnpd-qY8+di-sF(YIzz zyHtAqdDV{B+&KOhe<7Juz2c-RJW}e>M;}?RyleBS)Q%k=?cMVt&QoUVGp9)n4sIAU zke6zduqXlG(f}b@mQErS>8ykP=1b zDlMRX*T?!OnF2ET1xF?Q#VxsRx&cRDdnu~%33oD2J&0Se-Yuc;S{PEq;wtrv{ zs!aNjcaejDcZq6q-e930=i=@mMA%r1>RgG!C^cYzwH6DfCzzdCKtT z_`u9oz@xxe$;?JEYjzqeTgMgP)a^jNN-g6^q#ow6xO3H==Fx$3sUCt;kfLkMd19+O zF{vZzGCd0{W_wCm_m?KgRiry5IGuP&e=*=qqGi60+o0w90#(?6KSO1m3cE-_r&8^5 z&P?s1O?oIyBZu>sSTF4_t3nO4gGkGrUrMy=n3hVd(RExR9=3|8&MsK3wERXxFQk-$ zUx*nAeuM!VML>o0uj^yRh{!wpfThPQh?*jGnu;SkWf6M_LyG63=~|~o7J0QUF&638 zwwQ#+0xYzmFsu$jD;#$|#=_rFuggE{AxB zIux{;Tuf)3B2uCzC9f(r1MUbUydYvXa@Z`zVnvB1H^2vv92bS7`vu!g6(23^hNpRi z1V;Ic)Na|5FHO@lMja5U!-XJdi9}H62q{WVB54y%qdiH2Fo8_osth-q#$c&!Tng%~ zq=63 zvw*gtZ%56LZyCVV5!L}h++>LJ^cl(4YSr*Ztzk)$D8x_*C}MD1vdno}se~rzjsiOib)(az}S{Vv6A|cNTRfBpuYKmW z%74>pqO>D_;-y2pSOc>Lx=7coy$$=VX4$Z1ol~fa#sduvaRVtn;XB(SpT=h)pC6ftDIeEEEW6 zPMfNZ28|-duOrb=A*qg3>Lvhl#IV0)h!qJw77$d1MzJE%Qi8B>Hn1p$BZgs6ss&=J zTWmoDVc&AMQLC!gs}YZcz$$IWoK1%HNujK;9B@v2xjMvxk+CCmhLphRQ2|H%@o=eB zgJ_t6SY((=m@KnFWptPic-MzL>C+ZZBiIWbK_*?5fIG6qT23(R8RON)h?lXT<=6{( z^Lr`=qZB3#2AMi}GE?(LJnl!5tHGFwc}%btAYD8cpdWk;*_x3pZmr`wY!{!VuaF1{ zruWzc@ePqv&IhA|Oa{TgEV4T*my#s(I5vkC`(44^AJ{vG?izob`evm- zwXGW3Hoi2aK;a67LIDF`fbs<+zBQvDawRzmusO7MrD*%RZj3fY97aT$9lJ!zl-8es z#VoCEKf2)2g*!gFqgT$Hy5y3hkEX0d+AnS6UwoV%w4--Nuhf#pOZ|g`X9JvvH6Zc( zgUzRIFoVUE0+E2F)JY1E1{XZC;B3m5GpD9dusowcDLFmpY(zAXnA(s9$3{_{V>$$U}dYlA&H)l$f?EOf*?$jz=cjgpByK@@V zW;{9NAvq`P+O?-wc5F(UD($;CU~9>e^czR3kyAgmy8XtzgA-4WkDoAj!eDmdQz|>} zKr)pPm|-kmUMPs{Ed4g|g?4-6#^oEw$2;kS=OUq4gaSs-@qNelRn%QrvO<9y%P9rh z{Fa&+kdlxVJEvZy6r2s4Wdvpz8<$4~k)5UACOF4AiVD&E-JNv8bAj~l)~&mD@7i^5 zaXlb-OE;#jjC?u4YrOqLb|#gUbo!YVrPB8JxbR}>lS`l6+}_~0)n+LO)9j7JpDi+O zdvNb5Q%aBmra^lTM^-ObwdzE^d6k`6J(U7?g4b&E)~T6N3S_;2PkD_CFP3uepSsOB zZtZQI;zYYaDSsRn_h`mX(rXM(x*X0bD8YBLhY@H0JN36Q+@r**|2&S#!McRb~U)&AJia^ZE zyMy7XO2RZfYirWMPMA5!c~@DuV+EY$?O?q~$9H(?HOtb-JRNstct-O7ox!v>-Ae8p z4Tw4;ARQN3FJ$9la^$8ZO{ULL98G@ElIbDXp@kS%s0aInAN$$9${E~AZ z=RnSZoC7%rat`Di$T{$f!vR@q`u{up;`ByNNzQ?s133qB4&)rjIgoQ8=RnSZoC7%r zat`Di$T^U6Am>2Nft&+52XYSN9LPD4b0FtH&Vif*IR|nM2Nft&+52XYSN9LPD4b0FtH&Vif*IR|nM z2Nft&+52XYSN z9LPD4b0FtH&Vif*IR|nM2Nft&+52XYSN9LPD4b0FtH&Vif*IR|nM2Nft&+52XYSN9LPD4b0FtH&Vif*IR|nM2Nft&+52XYSN9LPD4 zb0FtH&Vif*IR|nM2Nft&+52XYSN9LPD4b0FtH&Vif*IR|nM2Nft&+52mTLpprE}Q)E}wWn7-SBJG`~(Lc7@Xe9M28 z`dG5`p@WqF6ZL>PaqS_;7QUjMH_=4(%%JWaZ_lv{E-s$!tx4WqS@@B8M|i)l-W?xx z+q`dk_n4~&j(Mc#bKXyly-hz?y4+uCf7cwlsC<%tzWu~QuN<83`5#ICeARIm%zwb& zntb7*<2M{M>Q5whtsRJa&oBIv`r2bBBnQ8&uv&d%?du*p_=&>x>bnoUVb39-FWiwl zwd$0`eb*MANcKKt1}jmpc*}fq>Uq^taL$$^Zad?u>W;uhzclcs=Aj=8zTo|8^Q@Z> zdq?n{)}VUJ{R@sQ9v+=}`L92=;ECc&@2wB5-gEeE#r0d(4gBVUBd#fqd+%*t@alzw z#cxK}POLGD{CT~t>&!dPTeN-N8@=C;f9ujCKQiyK=+hGy+oR5%7jOB?f%n{S^bzwO zZvB;g-)E2hryjqxExF?M#h>muq4kiu>YiiX*>hp*Tjm3gEO~j)A8vX4@((_?&$GUI$UdjvS9;~$2dlaYmzB<2aiqS!6h2*Q-2HO%;mXTyFa7n3WvhO7 z!7p7?`a$iKwarDxzP^0q-EX@54;CN$Z23)hueKl4FTbPwL4Te7gnq^P^0@!r%RjmJ zm9H=VZHY$NtANzkKujXZ_^1+a7=QB?rC2fAI1ze&hI89JG4HH_VssKYr&yb^kjP ze|^WVeCnVt`ah2E{OqruchJtepI>!XbKub4%Bel-?)3wI*Zan8hc@qByX?B&_nx|B z;QrHIv%L3DhF+C?ZRu;C>wRG8MDzEh6K?B$cE}_TJ^tE@4}SU3Sp#3c=fwVl|J$j* z5&uJc;y)a0x4or3ef_hClncX^ozI>0mxsKr z&|LN1``@tUkardSr1|}ipM2;czqjqrlP4}b`QAhB9Qypkk6!(2>ks)s;j5J&KQs8M zzQc#^*FU*q@SA zeNUa*_SEHOWo7X_<(-A4W>@9RU_q<+t>{lHSDx0trSy>%=Tts@+HuiAgDZAd9ysl_ zPaXXDsW(=h36_slZaD2N)g|>eM~4lb_Ot4l_q=uI;g6mEr`0QiHR~4HGu~YNRB*}8 zqxBg-scsLhT6fHyD?d@4xMx#KpLgc+LytObBz)P#ng4d^sr5g&;^p->efZEz@A*_~ z>4G=^%AtRF&rRNOpMCSghiI4);fAUi$0NfZ+Osq%g5i^dwB2g>08cy=B-MMbZq7U5{dX40rs}_B7-m9t~zUQ3} zFS>KyGVdeFyH+jw*1VIR`skhS`uj!CUuPa}-ge+GnPb2I*$eMK@(uT`T6e<@ zzjf-7=UsPR^w#|NXv=qo4W4#fKf$dq#cd*v%I=j(XJ@9|`|#!^L}!I%D1+oO|PGm%Q(& zcV73$SA4GblE;qPJa25vO%MI{s-ym7-c4J^o4$VPF_PL`c=Iz~b*FBe)7nfER##(1y{@aW7_2sd<@4x(k#V4)o8@PqzB>Ba#qXb3 z+`4{Q>%sf4Jah4**S~(*-yeJBXBPjcXK-xChAW>r#=ky#>Y<0OdeboruV1VpBcHK8F zyyp00nmxa@>>odV&9%q;Sz`e+qGxo=cjIeMTJq~F|8Vr*K6~x@CGYI{#Fp>H*Z$R#E4F`X-SO;PXd$Md| zaKqyM`90gBr__eC`j6n%@l>*5Lw~safn`5gzhS)p_>~WOPY-N(wEv{*|Nh~pAKO^y zKXv89Tb}vI#^d|X>3JmFHL&q*{pYRxhtKbNWaH-kclP{a_|wZb-q`=1?cdz;Y-!`y z`mgT!=bg`fa^pn*rj?IXpIf@=u>R|N{xy2;_Dv`D|K7^)uKU?Zo8H#{N7sLE-OujW zbWQ(fRz802?tx7=^#A$xCzkELY18NXZ|QmRspl7M`n&!w_5A4b&(}9S-v5>Be|+xq zKiur~-`z8@bI+>HNA=&|^OJM;+`M^N|KDBzbZc+0d1e3Cdv;a#p11k!{om;M>A8Dv z+I(66x3)jKW$(o1E&V%JJ~#TpNt=Ja|KG0v+1Lx~H;?uIVCC-77jD~pTmKKYKYzsw zk8l2J|3uH8W$i_qALxI&XU|jZ(>6cSzia#6(e|aAzuo^_&kJF@x%qqjyL(<3Yu~*2 zNBz%lZ+q?gH$UCKx2Jt>`x~2|?SG-CJ=%VJ^Yi`fo_4GK%;vrQ?Un7F?dLYX@PBx& zl~2Nft&+52XYSN9LPD4b0FtH&Vif*IR|nM2NfuDy1UMEw>?Gg7_bx`K28R=HS zhp2fo)3f-Q?#%oL3TNeJ<;>Y6V@s;GZ)%o5a}QMCOHI${I*>b8{p5R4_?P8ZGx(e3 z%hG3tXX5`y!&yCZxi7W+xys4n_wBL$$7K2Da@7p}z0~kr<-XYXms;PH{+u=rUTl3c z^S{*kUn>5A=-Pkp?VCEYyq8K(j^E+wiyZg+@O$4b*>B9u{4>Kd`{O|A+4#-enfd>- z!&$wVOXFX^xS-Wb`x|(fAE<=`W6E2#mJ(`LueUgJfVbq9tFN6S%|(Cu7Nrh^arKAx zSHib%Y5$jldf90=!k0tT!VXUk(B=cR7@i!U%?C>VFK0{>S23}TRtaNyCpcWlq z9Kn~va-j5I0G{+*a1A`^j+6b3Ye_p$FTaR;=Kv$|7l0@I&#d5SGM``fS=0#^ Z2X?CVKuc{I@YUCP0Yqsxj)~~Oy0vX7rtAz!2AsO({D=Cuj=&2qmfDBmHBEcX5L1kwm zXaE{URtjuDh{fI7zV`+9#ej650}x(8fcqICAWs-?u+X2@rmqHy9hkuCj|2olz+6Ry zNr*r+;hMBWj(GF=R(0PW@5jt=#gI$mP+KQ&KT z^P$tsf2jFz`Jp?L|La4)lbhnEZt#F@Nn2_}92jxmH}iZ_Ep)Kk$FP@H+;O^y@#U5Sk<}-Ztq!`X3eh zGeSaoUEf_uzpL5j-=5t6`)`k!C?z-VE8ep_`3e4CzI8+q?3VBb@!{~spGhBA%* zcfN?<{dwGXzRcgt@q0D!y&AZ<2Hb!i{UQ3H!T+B3=c5MvP|VT)j+T0~>_`7Qn)#4f zIn1C(|B*Uas4j3sHw1SaOIX(iE_~kG4f+2Q0WB_>gew#+%qx2$W-4a~rsgqo&Nu~$ zu2>V*PLRGM<)UC>5@)Oua@nQPUxacKx+tC5;SBVsU{0pSc&-pfI>ASS8=76sAO9=~ zqBy0Dp^1V+cuNaNlSc2HYfWivWlc;Q$xm$5T_*HgE{{SaH8tS(>tH_?Qb$X-G?gcs zw}V8moM5EBgm6bgOMkqPmze58*Uqf*b!9L*e6Z&hNOj&-rG>e;(vBV)X8 zkRu7kNf2t%2Y@!z)KI(m(3eCw2Of;B9XO}&4(*bl-@*Iy>9=*X!)NNQYwHmqUHc(& zUckt8k)N2E2^rMt@QJZDaOI)5P`^NVsl>g8-B!JICbW4GgotU6@l0G5oOgK#8gOP6 zvr8HkY6x#-2d3bZeUsKSnduwFxA*JH9UHei*>XNEq)c?BeUhiksx^#sAcP7~nPUbq zsdEPWOxb#akA{Av7As%c1r__mAmvp??@RBMs_`Z*x8spV1F{ii51gqpJ0*LQmBnqQ5z+JB-yEUG}w96ER`Zc3SS>8u&VkI>Fnw9WO3xi_>=i!U$*Ad*p)g! z;*w<356~|&N6EH~qew^I<0j=nM|9IJz-!Px}o3j1f-l* zrc4vFqFJU4<0B-EX~P_2L_CSVO+H8F*aEsq1U>z9zP&pm(wNtbdFLr2XdC5qAM>QKFMRO}U%ZGn zHK{b)2UL&-2M6ahSU&*yp5P15e&N|m5}w!K62|W&`u?FYx<2(O?GleUuMZFIK3Ly= zd5k}~|LhlU+_?7k+izc(V!8g>dHk*hJ5)3<2k%XTEW38??Mr&l-Ax(Cys|&Yp88Dk z4}1Cj?htzC#SiyqAI4*%3;p8G)q~%i*v{N}@vobw^(4PKK>%^?3*z#7yj{@9eB;JT zFTVNioA0h~9UeS14>uaF*I)gX@{e*44`VxiSXRb6>x{o8wC_{U5)#6VEk@ zUcdg*i`ReY`Y#zY=uN-Tz?eUa2H*I`SH5wP23_}c?)m{3#pA=wm@j|n%U@FLjW^6j zgIfoO>j&$H4~2hk@XRwsK@HHy%{XH->$lez_R#0Q^nB2u>-IEYka({nl5*YEK=ApZk}ZW)3?{7r(6b;K#1;TJWq(9XmYd%)`nOY|A5yM;A5T5}x>lC5JqjkBoDV z=|f~XiQGqSl&udaU{&M452%+i=Q}nU? zR*k<0>IoAQnuL@=CsbV!&d=Uv+jMEDxL{=`rW|Z*3;l?z%o2R*kD`hPHD=Yh)-2PxbL44lipaiRn%-HW zDw>sO)4JBF5narac2{+o5*F!zr-doPs)a%OyoPIFfF6K$PK=`jU7bPhK_LTDm?2jCOTV~G_k^L~;XagR8~w4k85X~UJ6Jm7@i0dh2^F3Zv@;6f#ufGlfT zR6x}wzMW8px&)(4J2UrHUgb&T2y7@A(#1${Gm23p*;IFyODwnvB^tnu0r{#>7iy`b zn<*xs)`HzlD766-I$|n%XDoKnDYY_y)Et66zIX;XDXiEdiA~@E8(bXW5fM zXw3n)=T(hws?1YY(#e=p%xLR9Hrr{e7SnkpKE;i8}dI~t+O1?Jf3C7b*T~Wm?rO`CYoT;tzaeT}dpza^RX6uL}~X!43mO_Ehl96UWEu=cbcH)o7jW*)E> z7PFe9i^Uu@NhZNP4bXHn&?P`Y-gzq0Q@gBUwWyyde3~yy!h2C%m3T`)KC3`e-P`Al;*%3Y%|yjxg>PC2D_^n(qN1~yjB#4 zhcCYPV)w>X^QjkKlm?51mZ#KuqXw5`2fDcPLr?$ie`J1BmT&R2>AE}SMo%qt7`5h_ zG2egZhYo(XF~2$Dv4{_fze(IhIwJ187*d#2J^vr7mnMDk->4vEfzc8GH->RsQB~G zU%lD`3P(^Ij^RB&@kHM!3XKR`2pZ6T2l=w8zhu4y0u8RedHn(n%;5nu+xMb@h7j#;Gio|HMBHFgen zwVQ%4dk4nuS&NZjd4}&vqA|yigXXkhJRn^Nmzy2Cvywb~J|G?LS^WaxiJb7nI5Jh# zf+U{5JUjq$i`azyhxlZb!APb{v&g;pGHx^c3n2IGR8mI`kKCwPr@K2qXKY$kJpQmy zs>+s546-Z3EGa8pX;WJ=ADH55rok==4|}J??cA5td}C@)dgD6L$thfTn&>q>57pA= zdQ|$P<(Bk0@>$G>*7Z##|Ot2S7;VFu|m*ETIvk>c+$!C=*MK(E}OH<`r36rWoad ziGin%PERK$t0u0;0y$+jsyU*Zb5-*KC8Jmyyb@E7z_d-`~u3)jZB3Up=VJ7I*5ZnuVVU-O#;TyG$zX|_L*zYk)BjyvUW_%x>MqlG_grp z+oC_sLme*CIuDKCH8hJLGZxns`2<_M;w~ztq&QQ0lTLHZ096axN-Z#R=yr~@u5>Uj ztD;(lO?R3vFBTXGM_KRc)Ft(7P8TYx+UBKCJmkETc&#tx%PA7{r=DDEtN>0D8q7Gl zI=U{Qs*y^IOO6}RKGUf>2awn~bGxLn@Kv_Uj&lfknkt{U#i&nH>=CBYGb*T@uLyIu zP^64(r`5CzSty&nGId{-4x3K(`$(VylhZ^jFel9UkNr`3l$z7Y3FR~i_vTSH@z755 z49=6|yg8k-Kjm^eFIuJJz8#>)uNT~AQDRnk`c;HUA40l$)EiKX)s~QNM=4y{ItZpu z3)484Ps$drq`lQ87rb{?5v*37%W0}fn^z_^tD{x9r1NlVG8d*GOWWJZRtt2?Q!ZQP z9SzAHyQmlOD38sp@(CH^tJ#V4gih$keUi*p8IqZBFBfH!U?ztOHD)$FN$9|4QfImL z)K?2Mg5HZhiVKpGq**3#^kJkRg*{8JGjcM0uF6PEz2r%{sH+v0QRB;Iv6}g5pGgT{ zH6{0jsj^iznUz^ndRvvv%ui@yI)I-xE-raCh%z{h@w7<8z&c|XC2Z+Tlx&Y)HCgLU zZJ<{S%z-{>%qnl1X4RNxW{*n@!d1sWz~T}{o1@^Y%{0yQl$E9#8=(yvE`5*9FFLtn zlgVZ5;>ygTYfiO&$zww|IMsv>3Jvrlw3K0+8TELL2h_!idSRi(BrD^pYGPJ17M41C znCvBB4&7J+E7MFV*J_qF(_<_>SBp$$0W5A}8r_epS&MZm?AQ|tTCT*niEEVuJqW4L z9r?~u5TNURrhYnHFcYQ>NyB;q9*ZF}b3{Nn@}R7oMC=2cP!mbP+ouTwoq9_wVIVMg zQ=t$!_-19%gx1T*l^m+#B(P=W5&eWV?k3PVx97@6IHkOjxNtd8Kg22)Le{ELfg<3fu8w>grbudMUWD{s9awrZDl4d=~wefGP9X&+GFS| z?p@|-^|DMX1Dpdh@9Eu{wIh8lGdqHlS0!`YNoJReq3muk^pQT&J3M=0PnI*q>4q+L z7Gr+;X8V1ziMM{_`01OYzj!1a36b%fgaK`S`pPJ2%xR>2&o}1p{n!5TYDoU`fA%;! ziPOsk7$iK7e*0e!oyM3Cy)%fyV2#lK{VR{7kF3$ZJw$gU>~dU0w`TluOy)UH+aqlPPK`?_cSmhmidVVHEtxPg z?w?OG)-)p z(xmNzR1%#~Wam+e0V$g-Tw(JQ^6Yb86v&4M4nrUFdBqeRvo7bs%yxyN@e-3)kUKaE zE-Gs^AwET}pQi2cys+s!MOxFsRC7;LjdV0h+R)vU1X~2FcCI-Dlxf)|jiUjRnwVs% z2UwFP9v_G`8^dxd+jAN8;*MtDnNp+()@;+!;~kSIx|nUJXfa*RNztTPn1DF2UUAFq zKdtI)UgxMOhUIi_=?#=RW#d+QQM@u9HeAB72URB>7g@8)IiN^?ryq(TG3;sq%)Gf> zmR4cG)Gn78kiEk24iG}UTnYpz)UK9Yk#q}o|7PhCx@#N@RVWUDDu+JeF;3`Y3pQ6| z#9C0qX$F5Pf4MT5J>l-66l$hZ+Udx9Lew9(Qv`9cvSe(GLZNY1O+9mF#_nI=jf8<7 zJs-WoPARo_RF&1#6`^eHcnO=*b=drI=D1p-5GXAjS4C!AH`Nv*gV%=JTVG>e%zBop zyvEnmdG^Xz^2Oc9)t!JK|T>7`zpniG0yk}!u}AyQ&l+JUq0h#b``@%3=`3*AK7N;>uZ zN~nv$gYFs}>w6ZU6f%nm+5tovd&u?r2+*;0(|WYud}?r#ywcgQ{Ijc_)>;xm{UnybKvS#MIGY-C|0g z-F8GRtN^Q0O&R(o60Fynf*O0pruN*s+|wAIzq8P@BeztE8^hXT0y#;on37s9^(oVm z)r3CK672?NktRfh5Ly*gQrmz~@2d11GjlX>{n7DU0y-qAJDh>IyVoq5xT^>C9ZuL@ zjXn($@mF39_}gY)@A3_@l)}9B+CJsB`N%uw2URqD`&u}?`_!4t6@BHEcdsAbJq)Yw zoUnb?ggr7v5H8Zd1P#Vr8hkW(Dt{`El16;g_dq*CLl)6*nC6&|zkKBb zuid9;cP}`jdS0XNJm$6Ez8}KfZbYm9QTZ>Kv>}_~8$~`s%2as#$x7yYUzn z=LbIU`s*V_5?=e<&1czby>?)3U%7t$r+#XGCu!uwKfL*hV$c5el`A*RD~D?ST@8}t zBOe*L!>MYsspxRZI?|#B+FgwMIYGkx*FJFlVV}KxWjXn~e|NE9Gr1on?fTuYugI=p zIGf8ZVc1U`{qBwl_;3>Uf_+ClvEmM7b;sW5u?z|qceXf*lSxK+&X;U`@ZoFnAY0c7Ocbl zqg}=RzE1ld9*XkPOY4JsI)r_=KKMEid4o$o_6iLCqcs@Mt{26_Yv$U)HG>9a`Nw}O z0s9)T6V2HAkRGwC0g9g0fU(gqHkr{M_&@Q98(A#DejVIm6ZRgUR4TXJt6#rLi4aY0 zf^F!2uN}##+l?FKEx1K(tnY=CqMe7RanqndD8U&G%mH;8^SZ~WfRO${ydOpl;$0Ic z{o$Sl1}&w5d4vXYliNjUNuF0#Wv12;W+rOz9lb|BqdZp_^om0~c&AMeOu-U73C zB9vLn@xI*!9v zE8c`bNY$Jp({aq1%$ez97ctwGKqS+6yP&0$oLf={IIBgvVEV}tLcTC3lLck8?84Hb zIi{HBQJ+#TKwdOh$4Jsk8o)ALiUSd33#2J)0t#3vyK#kMRV7Rl%E7@>s{2*Bbre|RMy{&kqQcuko5d{|(^SUhOqHFZ7HB=LW_(4>OO9)> zseG_ONWTjyn?>17C-lm^NQ!=%PZu_w%w6xPvSLvdJ}sBkFV8ZIVx1D zP!qA_$%_3k);ic8HPokWBWi&%Q1#T8qf?oh_=3&~*x(k6-k;29qsgo@9otDcEl6ov zQoz%hc9Ghw^plk8&boPWoagy$k(&Hup3h68yFHOvjf&P(w)NBreIz+{9jVDWp}AO? znb_VSPzs#|n_CSOZ91tZO|V(*qJ_z|j*6Yy#+v!GtlA|DDP>++YqC6Rv2L4;Mssjt zM7442ANwF@If(<7)>&o>c3!n%RS2F{jRN%5nu;m&ZC^mWE?G_yF(48|Q2 zq|vcI@khi7?n6agH=t-}m#a>5%wBd`J%h4(okK(LG`3eboV#p%;MY#A7`SPs82*-^ zo&4NP ztonkicC2+69KI?{VZFM-fHL)-@j0|)M#yJA=%5sxhbvsPi6cBiLGQt^1QMpDDArQ48&k+x50dHPO(q#pbPPJmXGVqy(~ z=>jI{jt#Ats>OIlS;#WqPs^@_XOIBfu~vW1!JajK)7&<}dcV>HKXAV@yf2I2$_B?D z@o)_(2n?ruZ;vU5IFyirVQWkYY707(`|z!YZ)xtYIl27p92h>$vbln7FwD6B`9J^D zKUF-=-A4*1d=JA($sxrb-uuRv-+Xg8891agzivLW%{zv4Cbw_kZ%w% zYQPEKL*{<*EFw96`489McoU;-EJI+v7vJ@Zc}MpU7(+Uf7!$}JLF$V~{=vb|{LK3P zXFsd+i>d+PqPt$d{r0uDLo{Fy4?oe|xbf<*y!tDVet7u4{J`Af?<}kjD0~3r@+2A@ zn)d@|H8AGwYva3!QRh!IS@se45jSdp-EVGPpg}jD$sKLxJ3mwmXLq0e-NJOE4L$lg znQNZr4Dan|V~5kc;j}MScBI^ir+dADldaEfO04j|5k}Ei=QOXFPxs0 znsDe4Sp<)l^YHLVc#;zarq(15q>PRL>^I^k$c7Ebf~(nqpuyLlIO0_h!paB_(>TKu zpPnCRz+-&m7dA?E#tn)_nWF3gkGe@?r-mn)@OGCdvm^&4g@zUQUGgT}(ewAf?#5I- zJ1}Q5Hs8jvjxd6-T69G;6WS0!anCwCSLH!z$~>k^jq3?7LVSZAsgLrR@AyV%hfPx* zdp6E}h3%{^QIgflXfkhOm3p?tG44`N9Y}?Vb&mN4d7~H??!2Gp)?1%@n%?G2NH9Q{ zFd~bcHYUF4&1G|@Fpe-*P4A6Qb?DcdZkbxXAL3N*8NCNVt|BA-xw&Vi&yl&_jCH{5 z@HjcPFwZ@fgeSlur-|v0kwKPhULn_!I!N+VPe-k(?j$j~gi@mtP|BW5p*dWs=_tB3 z=}u{hmP|Fx#MdR$k}VFK))a-gs=x=Awz9FkbX42*RnM-gNpT@tCW0s(7?n!^Do8%Z zg9j1n=mR7fGayV_>Ws;fORdGawjws58YaJNsv7PgL2cs=6Q^?$JBU_?jS>2m+2jIl zNpyh1ON~5yE1~zsTB?7t3TJWAfVaa=m}{z1eSNfo^EfXta7E#Ds~}@Z!NVlnhg+QU z2hK0)AhkIuSmJ0fH>Sn3)(pHO9lgPM!!fs{OF9~+%h8ejT3VXzbvDTslsI>}mR7X8 zXgNTRabM@0%qa7&mc`p+{q-3OOR&H`O$t*xx^G$=q_tEW*0Mz+ceTbTz|I|L+J**5 zH6}6IaoiWCXhM@Q*|lj~c2MVOmG-Rq(cI2qX!q%YqY!;i5UaUGIcW3^%4WlSb`A%C z0!G6HU}@<&h2jRA&Uvq`&pSBViOv;bfaBB&{?G8qaBRI4YKm zz()xuo*jqKR&?=_Ly}p;iwa_2A)#s(p1kO4>Zlp3VOe#usu{ExQ&L3a9$HwyWMm3- zUUY;`ZF&urv2Ue06{T8o*t@gJu1*(pcNu@yFtTB;DaVY~P!8pEb=qM_>%Gl}x}fJc z>c>pGKB3);Q@5Hs-Rrz=Y_tSpGG1RGR5}I-v+A-{utg{7a+#1PB$IU-2$If8LgtQF zj;CtdFLFigOCJHv`NU&X~}XmStSy_Iwn{ABUEG| zkEIhzWWk?AdfzE#IgYr(67Y@NaS^SMi$ze)P>{ zbR&vVT*~n{x>Eo90M|cs`;lrqj&983I37ngKmKS99!ocm<#=2UbE`ArYzyhGUhwR* z3~OQV1i7#{!f?@(BE;zkHyM3#Kn)&p_8`W?bn+bUmNED2A25K1fq_}RC{|B5GSriV z8ReEahUnh|_NxhbWfm|P)XtfGT3)q)H0h?X*f<%o^Z{j7ZAlZeMnL&$C7JApv* zX2Yy+A*3jxvs5`x`=C(M#uTH0f7AOpGEoZL zkV=67libQEF$EhvgMmqMeF9NX_nKL%yVXn65m0@!dT<--kQqzPV|>nJe5t(E;Zke( z#!yR46L^MuGqGr7tEFCPQ{Srj+ki8O?m`o&8NxcUvH_P8w_E}2)Fv?R{f=> zMQdAwJf@ViEYC%g7K|z=!C#JfJ7{6cAJ)HBFK`G;#9tdH7;Um`RY% zN|8;ka7r`+qpk|9Q{Oxka@BbNcWTRo-ZDZG0~`8?r~Lh_Jaz4&>Km$CXC`-_0z-l! z`8HJyIXv%Ca7OR(8Uct6zzN4w-s@At1z>cjx%?2_^?c?XgqffD0s-P2?^5Pufsr8h{26l0}V7n&iWQDY(ZK350 zq;a%WO>uz^5yh>-Z4quYZjmE2kx-*78E9FQLkWja!@2D?C?t*%9D)AGbbZbim&Wf# zucYhw5Lsi&o`K<9Qc`*@%LNpj$2~E8@5mAmQ0H_4@Adff+`pLzS#G)okxjUS;Russ zVrJS2rx2O6w(zKN3yBJ)0&x+PrdHWw3;ddAvtEM&wC``u%7<6|{itoJ18 zl7{|~m_c0hqB0xYCRGT$^OU@CXRO)ohzWl??5*8?jbP;4`X;1P+7N2Sy|%a;CoAHe zf#a+n(p=ZNn~ASiiq` ze_<cf;cR_X!RU@7{g(xi5V2 z+QYAX?Pc@wP-i-`diUALMn*(=4h?d~5M=>{X+$hrAK&+w(5aDrAT*!?ShhHw82Cu&J`39#Ea=)K+1HHHJU z9~=|JUIf#od?Q8v`tbelfBwr~{_^_X2S51MgSY6fXZHu=Y0%v?`{6&U2KU*Eeg63` zKYz=7@Pp4hc;-E5aKT<0D(cHUf~~ff)qyz1GqwA>ePh~-a~P@W;TPSj`f`ui`xfn^ zG%(@IN(``l+lR*5Yp;uQU|Ne3e2!6%j9lRe%iuB84}U~A55a*2uL{qyoA?m$%m8Y_KE$INf`j8-&cdr>ayeq-)6I_2Gd^ZbxI^OnUg|^@aCZh;b4H!y zj1)blMhMnPh-~X;q`_r3no%9r+DKBxodp+EVFEW0^!!ygReY4a^H2^7+dvaFwiSW1 zu&2CFe57yHKFdGkxPuN7*o#3Oom&<3!HrH&OIw2Mb8PU7KXDofJ{jUIQ^20E9hy%0%FdIS zL+x1+#80slR0sF+gtd_}swyP*yu1kY&{fsq!x2pg)J2dadouP08`yhXJ0#Z@Bh?lm zQ5s;yWpx#Do$AsXi*MjK58Z&0UvZR6t)&tvuH?%gY#vJA2i)l71X`{>AmDTgTONid)jRts%J5#OS&=i2;WCqDb)wCW8l2sZBdIAZH>Xio3XQ;rtv<|`;U=7irWlFccGw?L7x}A3>qt$~^HHF=7GCuXP&+0z1f$bm z&<@e|hK}hHVaLA$=AAJkSum9F>-CDcf`IT=f-KV=xhu^i(EPT8kFHaggV3vLFTh!o? zH}Ikc=H3%&uz95K>-4w(Hu3vh=rGzTEwImZQS6Y{f`vci`=`M_JUCcCxN$v*3ih@v z=UwaBjYH+0IBBq^&h(Q!qXwS<_Lwe=9P$NS!Ki`WcRVnU(jbZ%E#Ej?R(wP;GuI5i z5EFdg^LFV|eC>7%`5te|i09(EhLsqrqMV~DS zYKU;AVhm0u`hahJ>s3<#zHZ{2nn}r(*&I81=E7D zCig0r>OtxUWeBT9I9go94+0x^CPPjEJ414u0XTz8U8fCEG?&N#-ma*!u@=zMQF+!` z=?HLFbjY!aSjPpgN*B}s7udk;0r#u~2q<`bH+DPXB#fHJ$mKu+?*zmH$fhSUKuc#Z z`tZ*Ps+dp`+heDtsMB-s(>ZbII@j2Vke5IKzG~8j_gdL@m&qK#4u0?`r1Lun!fL@- zd~OiqNvSH(-6Pmq9Nn+B_~$@HaaKwv+&wgRRjm?|?q**) zOAVyG0i=Qpt~ji2(Y)^+)y^ZNSEi{mKn_=muLyb5cb&Wo!Ez+FnidsbI`+nSj)X_E z*KW9d2pxG4;iP8{bNb{Xv}7)P@9?IIO<}=*@DmT!diPMbv;#t=o%R z>s$+_r+f;mR@ODC6;EQEi++@3oW^dN_!4+0;DgQm;uXU0JQW#7KgPCrbB->~t4-jz zDQ@Do2Olr)#c$&ZT?pD6Jv@9Jh-A&M!+vRqzW(~%kH7ZXb`jmc@=b9ng4Pa@?t5k|W;GTpuV`X^s`4PJP# zUek+0IrjB`Wd3pQ-C*7SMB(@ioB!geD+RM4cFdpBj`^6jx?`U*aR)u@$t!;C>F?`# z>hN2%*Pf2QN&6YbbW;8Wr5yL%&F}_p*n4L$9*MGE&H+DdbL;wz_$?{Q_pPj84YK$U z(!o6z5zdL;Ak;i##E*fkA2hgnRfvy%RI6CuDjXVqu81X^%hL@Sq!)8MeE8LZrKn)v z>u!2)z;6m|N_k#F)Zh{Y%(ZL$BGk7*gY)@rF+&|}5h0X#c2zOZm}&OdQ*+*+GdYhq z*;;94#pC4&tYLKD14~CtL~wiP81Z->nwJE);?s`s0DYkND))RXB$!@$d`_&*OJg|` z84Lm3GtxCDwlE5s;N}t^G6>PF;|N+PmOuS(cOg4P$TLi;&p7`ds}$Do*B<$2xd zjp{-lbH=19$foDY4c#PMa1D@?NvQ-4wx{mYc!vls!~!)Jg--FMZsM8K`Y+Cc9?a#Fnt)nhCM6ZqH;WykNZ z@@K~$5z%=NBj1T-ggs4ZDe9r7zO1ROsW@%iV?$X-U7wN#ZInB)3RF_LfY(LY zP*){TdFR1IV*VHmj7324?w9;2%6a?&go;q{#H(z|L0nd;!d7+@3C^oAE$8HU0hI80 zaG-43DgTneBtR$>p+)E^LRl*pYRLzyuvQW7sEf$FhdJ6+amc;fDg4N95>%b)J5#A1wvb|Idom(zK`QH3R?DXg*c}aGP0oF@fuqFRIpV!l0d&iT`+$7YuwbKHPB+|p0X|j( zw-o1~E$#?CfeJ&aH&%Y%cFuGTiDyBreG#yF%2sr>NbE`4Ec8922=a$(DL-xObfu#- z&D8QO-_Szg4)7Ee`ia-#6%Jv*2X}B{Mem}9st)f%pFj(cEbzuyPgq@U&ZV#} zr=GKbY(uD*kJuf*=lhct!vNpC;xR@$Cv*%a zh9PNE>oFjB=c(XDzZv4M4gP$O{$k`D_}SCkq`a-rJA)(>{CcyS4<5N!MZX#Ns2ITmgB4hR(P3f_^qbM@eNImcf7{8LZ$PqVst#~$=QL!Z54 za@PEm4=C=6{V+s>ej(hQMyz$mEU-}ZCA*P(y z-_@_EUJUQPd+i!Ww|9Efty}x+JQt@k=Ha`)`A?{U&j)%aHqMS;6w-iG7eRx|&swM! z-HIrKfV2q_$4KZa-y&c?~IGn9lUVs7h)p0`*m>r z`b#hB3(h*T!7tO&?VcR=d-kK!9lQ{G;%@Danm7%X-c<`(RC_>S*|>|3DZ#P zHBS?kQ0KhC<&mvFhKxO6qL%2-kj_IP47dI+1#WyjTy5@5XUUxZHZXVeUjRBQ?h4uH z*v4i&MU9N3S}4HU;Nc`vm_K8+b51dG6-1NAeq_1G*8?IE!5ib`4=`k{c~gY=BnkQi zCtyh#@qXZ<9)cL+qf{}pnsE>*rbp#s2Z3N4shRRhnr6nYa-a_pERSb-h5 zgB}Vq`{6H03#2gZ7boC?yW^l^u5FP}+rb}+{N9dcdU2$ZJUz*sd~!HW9%GXxiwfeVxErakU{I-cw+wyrVnJP3D>Z&9Ny}U9q#pR9n|_ z!&;()5y--g_&P8sVvZp#&wD$UTox!H z)GP~HlP2@RC#JUauoSFrY=Odpv|DCrhuA_HgcC01BGdy!-dqh#ja+I(uA}4G(h{UZ zOJQy25L(z1fPRy!Rl`pP;h(nlh&SkSn|D;LNsyP|UjpOQ`8@edq7a?*l(TC4EqCh7 zjNhSd$s<(VqIVW!zvoqS+jV?)`w^K-JylCAafk#b;^WF&!c}`>D^R}@s zJON~Ty1myu+;YNTNm-gIw3}ugvL`Kn=fD{cj%KsE72TPp zqWft5J9BAFm{N=4cZOvAG319PAthKG8o+r=fW9*GwORH{Z~1{}x8UAJl7_9bpi?wn z-KXkmA8hA+4o_6=yaj88N|8T8s(?cmcG#OqeVXO8j0Yz;ty!5w4V@69MCYM4ISG05 zAW_qvhaL?>4qH+}u*ar8gKt&Ms_8rt2dgg&M)vM>by}XLrvWGYIk>s8cxB0MpfTnh zMQ#V5*btX5KG8S)V@ST97Mr+|-QMneEBbANyK6RAAN-xavoFwwsLYYW!y6pkmgW5P z&nBJxTMg8WpZ?jWfA;0OJI2rN|KQ+*;hpB& zml!P1J-55(9aYlX>HK8YZqcr--{r@f9>^+@Ewf(#m+P0Y`CsQu!{MhMZQUFmjvD;p zg(ZIOx$|cGwTD-(@RM1O(BNdF!EgM=;r+vKGT|ce*w!~V8ULxGx%xEz>4t|L@m?q9 zqhH83#K-;Xja475bG^5IJEp~&58s^jcV2wT{3Y(rJLYc=|A8yfS-=`lb ztTG+k`}Otb`B3+*^mqPxc#lQ2KmEkR!~TDZw)6V_!G$@PVTEdZYkibC`pq6Wq?5bbGDK7Wn&z4616aFGTz_{1C;9*s<{EDwpA?r2j@XzHouc_Xml32yNh z##)}dmM*ENy|~swqS5g@*#v!XeQ~*jC%q7S%7#4+;zim83uBe! zDLW0QYcXup_LiTDg0usotpx8xYgb>0})7QEY@# z{COXg_pz1X*#a|cZ_!sDsP6d57xhCeP;}N>?Sw0f4q2R5XV*4Q4dIG&oWQU$66d8t z08V-dtW?pqbj3Cc0HC8tj6#6k~SL$Q!r4r7mEfpXA;T)nm6l*tB^o0>ph z-J=4SsjAL4gU)$F37KahFKo))K0`oZTp!4eeQa5#Oaz$>TB?-g)%KGos~ z2`lGQh1aR%(o08CA+#?AUw*VzTGWZ)WK~I%rQMxMWIe>z= z6XJZ9w!zQ}{4}8{R!-kDF4%U>+rFdA@J+yW*~7X*M3tX(tB|mZantn+1g9+LtjuY@ zGQ2B-5veWQGhnHsBjG$9icUNwCXK2|tvb=kgRISQ7k$@os}w%o^B#jduDBE{b3Ez2 zC8sXuu|cnyp^N$)e~9feXDpU>mRVxwz!t9d&Z;t*ol#lj5x|Z<3kG~- zukMK_Nf)Z!;;Oq*>6C^Tz-kD(Bt1X!lbdiIA#kyIX2wflk!yGPn5hJNRqgO>Kt|7qcDcQ?ll@Mnbk%isf7ZGTju$St*ee7 zN6^pvx}`~asC47SvW)Mk>)V6QRC9dCEkr?Rm?X)Uy@rW;QfE=3qz=Gv#1AaF7^5o} zR;!XMAg7&Glv7!>A$L(Z?UqwUgN|C6`4#!je4eYMp6@bx)i+1oyy1_t-uD33)PpbN;Cd;(7C(Cr^yD7pa9{Os!XpelF zGh(&AcNF*ZWX2;kH*}Fx7$`FNBAT6(bXp&qrd*T_Z3b-*+zG{VDK}YXoRX24b)NV91XogZ4$f6lw^evLubOJ5vsSB><)&l6Jnkr`#PBW+XKwX#@TB4# z2KO@`9+bQ@@Xk{a5&hxIZ=g1KV{VJ%_uy*=Uzma3hR|ZbyeLjHNF;P~h~G9)-U*R2 z&iFf}U;2`M-}nCgksRjrV1^wk=EsNkuV4Sb=gb4_ufuoWHV?jj_4@VCeGdM^^(W1P z8*gLGb5i#CXP$rl`Ig`F?P<+&z5e{?;rQja!=<~V8#CDY@%NMrdya?Cn=e112G_5d z2Uow2M%vdtG-yDMf?W+{Y5@T+_am2R0BQa9Ax72iuCr1p(O3g|3w~|Be)ZtsqaVF> z>xbWeXkK{X;6QO>evXB@IMXe5--NyBd7G_-3M9vn*=x>PxS_B-8OM4Exom zbl(G+mxC?c$pS9^2B*gFFeXeG?|wczzLtcu;lFn6TJR4(LmbKuLWVoXtPR`-eStA=(WJ{=pyp!5_W!iI;9&fAifpZ{4_c_)G7; z`!0ofHQA{sOznef2l^%BS6}_Be^qiWKQPYOg`ZphoM{j5xA)R};$6xCP%yxkfL#sj zU$KAX>ho94yV4-LWq#@1&_|s4X4Fw@;F#Jp`Ga?j`NdzfR$_SToL+Ges4c*^hnabv zT4f5sXf-fK|6lzpy(3Pq8tUNw+wZPFyZ-F`2i^LOLw<4USKs>8w>V7yzyA-L-#CuR zuiv{tiL~-?xV}eMJv?A{{()%!Q)CD(*_ri?wOEa{-0!K-E{vKA3CfZaBRd+HYu68- zIednj=OAd%zS+Ks2H*dimuSHKcpnW^D=70S9cmYX2C)`E^IH5Fu*Om;7OX&dr~M=j0fd%M`W1(?D)cH3a^Rj z2rl4cDXGduR~cHSX__LsfNP1%&QPkz5$&m{1jx(5UO-AEMPHm(^$$xIeV)c@t@zwm zpfOht6>OyuNn#9N@c<52*S82gW=BX%eUi3>DH$2r)nyzhK;>9@LuY`TZTjRN`TxhaQ2ZOB5`Y) z&Jm=%LuC``702y$`e%S7V8)nq;BvvIE-nOS*u`olK}mT!>p50x=6#!Ch$gNl1@Y-> z#w?upD(e+L?%PiBndpI|86>o;B5>HgJqalyM_{|enKrAYn32BmjXUB8lqwE;^!%hv z(>2Y?Q{+|Spf}K)qb{4Jvj)@1b4aFXX3*JTj2wkHW{Vle6B=VZ5jZ5_G0?C&%eHdU zG<;`}pVv)Sym5>|EA|PoeT#;lyWuc-InXDQ)v4%y)voZpL&d<6+olmEn-nV&i6csY z007&3oixayF4XCd}&RmI1w?i)|0dkXV)~FDSTSHD^gn;)_W!b>UmwxCbYmXRF{ZoN&y9ni1=t18MTKp+TDNc( z3=e-G4LHp?m`^iES|J6jw#LB5^_x%(D5)U&5*Z;r+C!MJhT+!YI$MMsY)=Hp8IGcF z@t7usSkzHLD@~GSzz*J+5 z?UPez;%I%sgtW{Rj}Y%8O6p@=Tm@Oi*x>HR2IK-iAHrMD611@_0jWI$l~?!x&hp7t zUXYuQ2-J;`ZZM_`_g=F_*llnp6y_$u0om4}ONAdaol|zroO{#xwzQ3f9%`s+>$c_{ z&?A$FIQZC?sR85QS3h7jWpZzEP1>!&*7jG3qya-ehF6NAeinILMVJKTcEI_U{ z_T(QlO{iZo)A2V!5j`ZRmbO20Ju^P{npp#A>NF^66ZRbB2xG&

pSlHEO8|*j_CWgykFUw(?w^xZeF@BJ#mXg-|nz& zf_D4jx3N_qovairTSrwluSvpZPQ=xtn%*N8Nh^DYikl6*#19`piMvhlbyT z%1-hbSoS=F4lbnLXFDIuIbvzpSL641SwZ69aYjYDWtMcj28tk~C$^)KoJr}kv;qb- zw)x_msGbHrNtA@stJD~y-tt(D_-IJzuyp*;6Ljxj@bk^33`=QFPneb+GiyG_=A5yJ z4Bs}(Rau4G$R!n!=tru;=?JxH#g8&~{Epno>1xAwNq}P**-33>{mU*ZXp+kCgRZ!} z@pILwH@+WI+vqbk9lH>et0NpKRh3SsjXF_gj@ZjP^7JZy!lCZ7FitxLJj;2`n$*=( zeuvl;mJBEKo+%wEC2zs#7a>LkS83*5jO@6a&z*X8#4s{>9&aA~@gD=KLTZmDq~WN@ zYRRvJaL%Vq(}}(y2*i^F3eDvt9n5p3+lS-+G~pK)lDSFQ>r2^0&h0`&-x+w#n0L;g z-gzn_@NX)lT|Pm?*MlEU;NAw^P6Gx(`*1sOuo|%iNvOBcCkt^9^zNVh_U&iaUs>N= z|LKhnE7f}a^6lWi{I$FCwL9|5zZ^qb_fLM}Pr|_P`Ss`5$9((BLb`tU?0(vo|FX~n z4&_RNn_s!P(SR=^-4_4r`q|0=7isX|`h!6GoVHki5P1(8#2mg`?(60o?;ZfpKKI2h zuCJ_r?DqOs*4N(t;ul|j*{pBAa^uFewRXC)8?O)^cZha$eRln=t<;Q;P_U&J}eeLaMpS^us8f4iuqobSIjhi>K>;esb zYyDfwYSe(BzPx>Im&e@U>9cc!mi@-33l4~Z5BrSxzsBu}dB^sV`1t*&JwIUj$Pv%t zj^Dw)V}7L9m~rQNzc;x5w?6;*pZoj|^LtO?8}}K15;&jk zv(MbP@qoQHQN|6)`;0@M{p@F-`A^61UHw1oy2%#fwg5Sh13u(IgY_aJRu4NXinL9SdGq=Hs{5RC{|sj+(y|xJ z-#J}>e)X$g{pwG5*RQI&s=M-<#k{?{gKfj6=0C^y=AV4?Peyl|=GT60{A)k@?JHM| zq@QQJcropxbu!wkm#KrTlM?*mFFyC2*6yzE0oQY~)!f7nVZ~$zSb1<9Z@oUcy>t8Z ztH(RXpT79%w-mNoL3`@duywp0=498t!L>!Lon0M#`l)zYg8P^5Ig@}{<3WPoy8XXd z8Sm`S=Ff#4=3dXj573@=zj79T5;Kqb1v7PKqPmiPEL*@k${zkDu1zSsi@ks3Hv`sg z>%FYKy(_L5t&74tU;3Hv!TC-!Dg9(BE-1sPA-h&aPome@y8*wVe}{N$;U0l?d<2^F zNlwQM=aX6QUFCVQOlK=l&UrAIi=&t##SNvFO;OM}TAoZ^_M z1L*^7I$^|OC z-syK5%3!_6pwWfcw`bUCmU%2K!|}JK)PR{Y=hy>kWbevJp7n#Pkb$DNRiX>IV~=>S zmGKBZ3AQ%>BBO}lJS5XNp)8q5Mz+|C_#&g+A}Ll$ml9W$tu!-$})Dwo}PpQmOMsKU8I!cp!U_tK13z1wxibTMwF!JM&)QPI6vtSr9_De zl>GW@1x0)aL?5@y{aBnP(^dh88WjNeTvnEbh9a82I~>&u$Dl#%5Flza&3l9?sVytf zUgQ+#5zIJ(X*`c`=9bn}D2ddZGRm1z&_j1XC7{j+(EYvF1W()ag=*!T<8@l>F;e)oJ5VFx-%$;tg1yLnqHD~0cSfZ7piG~Ect}IzRv@q>DK!Q`tPi&5 zjKc_f5D6IhlCN>g?P@Za@x~yAi$?j#M$BU?PN$(;`b=fqWsK9VA1bV}&JNMZGln9j zwtxN7slFJLY1lxn5Sw+K$@frLWWHSJwmuEDR@UBhlsvY*GJCukowN=(*4aZ?S_zt+TQ)W?`qxV zSr}3t>=lLd4J&Vze-HO|@9kc?|8DlJ9%5qex?esk!o7j>2BDnF_J4-njQs+t*YzRT zG@+;Nm`q!zRw52G=i3}Md+aVF!zqviDq`8|bdp<|JLvrS|8xBZu?>#zz1;3TWvvob zO~H=}Dp4f(pH9=W()Kg`{><24?VP@A)s*JVXZ}H_oTBkgO=qSNCjX`GhYbH;bizM6 zJbUp6OzS=;hDo=9zjJ5H{Z(-itD4X%RMo-;>G$SL&zz08uc&-Bfb)%{hktAnivS<_ z?f-D^-gRDE?>`K=9khR?KpD8>SFgM}qz26kTC0m~mH+jw>xQsLv-$RT7PxkYpo*SMT21C)SIC>80 z`WvY8Y?a90mLone;Z)$~meEF>hlQ{DQX6qjI@bk#68`|764acqptJYdAVRYWX>qmu z-aFK=82As|s@@^IuE9TqzyJ1_KAT!#l4Mh!L5BB~=33EvPi+1sB6kmA+CC)weGFCw z46s1sWZ^~fN;O@#fxdRSf%F0P^a1!sLMKsD-D9#2_jELc`7x&^FVDb8vC!0G$b5{A_NW3girRLgt>@Bg!C z;GFocO+Pq?q00Av`0zm-AA}zy`oR961U@K%4@%&J68Kv#0p3PYA`JHXC(eU7p2XX$ zDf9~yu-`uzIG^Tt4t{uB4fg3M-@E@o98co|Yb`vLkS@(521``<{xiK^2v3+mdeM~2 zv$j#VA%-yEPOROKKVfm0OQ{Ka8X^c?GLt>HH~qrBJBW~<=5X3?97Q-l>hec<`Oc4W z$mERuCHDZu;MlOwVQ=v_NaO_PWqbW*=9tMXOb+gLQTh1bYTY$4?^bZqP#2F~_|( z@+$;j9e->B#e-jBu$2*%Q=?Y6t?W#W!HjaK1_j>C&dmP9P(qXfeB#uPbSs63Kk`fT z<6M=o=bh)fSE%P6Vg&_p)i2T<=AZ;;^&^&7A>onnW4%4RRJ|)Y%Zy_BS%lJ_4q5|_ z`!uwCuAguX7Mo`Q9+5gMVFFhdEN0dCshj!E<>1I&VHUEgEapK^7D*mhRKePc!dq}p zBzKlBFZV+ruBdxLRg9qLk+44DC>+uq}7N>>HsY+0s` z6&+vCD{>|Vk*%dufsWds24c)3gNPk$tX!;3@|{BlFMTF`3M@f=H!f(8D0_j3DYhcp zGp~{bW|o1#;m^T^G268D`x_8sY%CU{tC-7Dl`KpT+S^69wa@~yTiG?f$YtP54p5?@ zXczQu-0;qjltGImDk+^7j*v=Q;c(i=- z+|CxEn=Ho7f|WLPdNM>KjHBpuU%F5S&nGIY6eaH3gY?i51NtLea^f*F;X$Qe!eLT{ zQIZluwGkLcZXp`>BA8+VBl(1ite4k$Uh51HAc#@x)G0zyId*l!WQ&5BDl<)lK}$2h3@eqpREOC25LJPM8$=|C`6SjO%3qDPXgSboK4 z#u7kCHP4Z`d&B62M_@!!Ip9)Y)axVCBIM==co}eD_ZTodnuV_y9Jw7iAG57MZI>N2 zs^mIr2Ye+I6w5%R#&-?HaJA8Mno-Agas`uWlQ7oShe&zi^g-h7i8(R=B;VfHR&z4RTO_9XjGqPLaz z_uk|kwhQKypJd(r#(7X*_`=nlUwZwQcK**N|MD-t@cA!%UUA-j`!k=B{qsNX+^t(L z{l-HjU?cNE0=XoC?0?dylO&kD>D(v2=G-6s(Mbtz-W(*jdGqJ^Ddn$zRrQr5kS(Zr z=(|~Ectd>OjIV9|`mdk!-M*#&;)`8(^{Rb3PDQA^gjSAK{>OaJEks&h|1WX=tAFL( zZ~O+=t>a_PCGTOi+J7spc;DK+b^O^EKKp`BQxg3r?)~B~K1c%XYaj_&%WtdYlLV@3 zU0ZxfU?N#c|Kug-{_#JiT#?}CU)q!aLJ7UvhZ_l8_Eh%NAc6Jv0{d_4%L(;|*ma;Q z^%ni_x%kBKfA&|qr;9PWpI<2d(ew}b;^KmRad8KG9RJYH`Mz-J=?{0Kf76E;MMLcV zyzgh7?N`t`$6ne>;hC!)H(~kg=&`h4x?#;=n|k;1kCi|6?~bEMy$SssU;pSwclo$wGr}OjUmgFiC(>HcoWM$gb#1R`9_rAJ;Q43r&)jyL z5_j*;2?-SW)yrT1I@_$D`z`-NnX^y9!)ZjZd;eG)Sa71j*%+^0zI5r!J73;W_`8R* z{2sugyVF?+dq;SeeqlOusW+`|Uk7&P0z@6ATypEm?V|sUEqXWdxIBKd%cS*)XCSmD zY-$%rxfo3fR}7;kYz3Jc-e~E)whB1uh zC^K%tBP!s{+%OWO(HfKJ)_%E$*uy(fk)rMR;K!Skl;6CdSJ}gi;wwCq&?0d``TAK< z;7sq=6QIiBDI!DMfu6#fOLY)%i4U>iNT(oy-q`~;zMX_>0IO_K_mDmX#-luL1$1CTYef1V@%k4VejU(Zc0m;_Aq33w+TEnTG z)A@Di%3jU}JfO{>+>CFfDM0E^`hL>Z_w3G42_$aXtx!BRwYvysy%lOq)g^kaxvCU* zj>md?hD=6=#r}yYhUK)NXJ=v2)J;i}`1%yX6&)7+lToq^nl~P4}?ZKLd z@RE8IXheRy4Kwd0Y0(;$v~e6dy-Iy~I_BPaa#w&?18SXzUSH%;CBU3A^EKLqn+1hK zJQf4`$r%Z`h>JbV`%31HXvdieG$(mFn$dZVs5kBCV4?)cN1`~Q<^|Dyre^j;v0JJ&U~MT~N2b>c~9(kyYJ!aohEYOSD;7Sf2GreQ5RgD7A6}bSaCb~iu>_wfPMyD zQMge@b!P16)*)DqoWduyAnb*_YjitN`BTmt!*1Dd4+t_kvZtfxx=FK_bAKzv(9WkV z8iVhqF^Wh=`tmRxppD95|Y*Zt~HLNVDN1+cvRQa2r(1@Bg zgcA=f9?i)ZK&5nBKS2!y6C#fx0q$t`9`_%jm)fC(#6r{q+bRVcnu^^E*e@YLjD$p* zuC#RSlGcq78yp|klYx@ZYnTNdKCMHov0h*#EamD~Vej0ViGFRh=QKPy_ zyEta}1znJhnz#tlmNA!ZF`)?_>@62TcRk@#pD*~4M1XnVv^k9h&G+;96z;{0JW&q1 zM!t19d&vi+VbA)-RQ_TS0uOfYG9M`u{ab!Icdwaq=?oOa|ig41Sx z{9>z6GKS7S1p%Op%fWt2URh<>fp!NeEg--(NKudYsk8vGw_A^V05<;OCb5J9Z!96h z27-)^-4_FA>w)z~G3Id*6;gKx3wAc3S(4r%rm6_L_M^(XZMB&OW@K(s=P{&rD9Gh_ zZ=1a-ak)%sG?b0%u>+BFbEZkGOgrTzTtbHa({k+WDHY zHayg}ry%hX-G_}U##g@5wqFD6gdo%Yq}53~bME!-($4Fh`^2~JT)rYL^8Wp#hZX<( zPjhu{LcHBiU|I(`;dG#Zl8GO8T z_4wlP%hGk&t>F3_<^B7hu8O1J#xwZg|B;Uz-%$)`IEhJLbSI%YXgI&=j0F0=UNq0N z97pxF!3#>!6o)wTkivRhh)62WN*Ex0S`t_?<6HS>v=IE=n?xwqN$)N!cx-pXpmt5@J&n?(@?_W6g zXKL8L=Fp`-gD9bJp{;oa5&` zfJ?s)hO!0rM8>Ynr?2oU9S#NZ7VnKIYk?Vmlb}#IlEOa7fi?2hZBpZgV4zUMg~- z`KZ2Jr9i3gW%Yn7b7OjA8Lm{M39rum8k49MZxc7TGv3zf9;pkU*ggNq?!29gAm~TA zd5Wz96w$Wq6$HpEjYg<-ELAz^aN1UD9_oN3XSiol=aWt(-fEo!F1 zzc&JQPEWk_I5_?$6wI_3^rwK$G|O#q$tfizcN(Iy`)NA186{$<>!tEM+tMn+25C)v zFERmz4it#QopeO($-H%03ocN5iZipzVw14^KXXI{W04g5M8IXYG-8_YjBlL9)A>{yZ zQ#5QM*(9Oq0;BrD4!#D{ik85b$&jO>g3`cRnYK@uka$9gY%aahPqU%j^mi2mZOVg4 zRiHHm?tIOJdypssVUFa8{Ux{hzMC*evc`?tl{?2}gDg>_Opq?&+Mfi*$y(gow7QNg zU>_=vT=Y%)kst6tmyB%B9Ge_M2M+9FlLOR}TjiW7MLdwmFb%7VQU*h1ZP35VFZ(@0~c1cxh3iOr>BP0(Bjbz-2x=)Hz>eu#>=4{oXbS3`v44 zcLii_FPEw2!LfcB5^QoPPH|{AIZziS0q1(32(*sSrBK0s1l}LIg^JHr553Qz*;e70O(l8+c_58&GhHhvH3Xq#WaghAXSE zn4VOTf{F405}y;*q@NIj(zB8p6$@N}OSGJIT*yX1dg0LI6i%jQ--x_|39J2rYmR(b zjOP`&a$2c`>MBfvE5o>@G`pUj^%5knxeCF^QP_n;1(7dW@}wO4d!eaXGC~Zk&9&yy z!k=4~W)O@a*deQ9=kdvpgi)^6%XFS;pxTz+l3#=dNOD#Y(zv`XxYg*r40y9;w1bO0 z3%r@VacFi%xglMKlG-c+%PraAznTI|x7Tv_7TtWZNAu1*?ofZ&OrD=e!!+ z6pe%*WkW2b*m0>q^tj?4$1OGnXe5e6$-{~DwDK5L(c1wVPFk!frc7nGOu%_xk;n0} z=%C9(Ny+iB9XFjQi|ukbpHnL1f~p^$XHrHbjHaWgSzN(@Ow)ZeZh=)$j6Auiu~^3ga3#%&DvKkU0BEw>#tuzIC(doF^pp|qLMAtH)Ccu8 zv5U6Th;Li$mCN8AmCrz)Vw3R-Q*oU0W)8mIgAlX=nicDz%jn%vlW)^RYV7I0i~gt? z)oN?mJWDb33Zo9^+4kO4Lrk?hBI#_o+zuLZ=@uGv2oz8t*#^cIt&f~ooH6aIAG8`i zt)Nv08Wqo#W!)hhGs|JZ+TDe%(Y2V@(wyB-zL?*A48%`5cNhIcLSf%@FQ&OUwH*tG zM0S#61Jhhk2$v!|D27?RVR!Nm*NMZ2U$iy6wUG|So6j$vIR2Gi`IY12?>;Hqlh^LJ zPq<5$+VY2<*ur+VG|%?tg<+0ri1%Py92I)&*1hA;fByL1^T*Hc9>4U(FFt?&`TOAR zJ%9gNw;9_c;HaSQKA9wV;>B-WbDy}nDFJf4dEr~%deS7^jC;O&Ljw2t&u_VFcdn6* zFMjb_cdg^>BzIbZ^SOM#usZMS-~L;2cl`0=-RG~qu=vZ#h2NaG-`M#-=Kl@HqFoq` zu3fVknp-cOn45aAd>{Sjt>Yj5)(?N{H-Gbq=Wl5}XYfrHNbdh*F1=1j1U zf85>L`ht6DadR^H4JQdEzqz;-Q$HiT z@;~cFT}GT?{_t7%RQDnLETZpRnrG}Z&-kqW2OSN!?N{DvHnIGWYkAJ}uEBP!`gP*4 zo_~C*<3T{obM04Oy*j$`?KHdgC4d>#t%7aFS(kqOHnYRWPd)Y2y|?baZT9`QnCV_a z`*Qp8+V>#WtFQj)pDOJ5_8YC)H{Q6u>A!qg5(vY5HCy^if)5?Pu$DmI3Ekd(F!s)ItXB zR?n>OmDjFZyodzYNWlE~>pMwtYdueF`|$whAuhM$#jtPgT#t3$2Wtw?(Aqw4w2V%J z^v{ZLQ6%6kq$UdJy|0&EFb=YVaS^`Zbur})Y=j~Ol#UPsQu>s0QE0%MxpEcWcqL@M z3X|h#MVmMXr?i6{F7i`cUZuQQc`FN)&<12@-P}!%sX>MCt}^Igwn^EeH?63dm{ZJG z;V0tCqmB;6;t|c_bcqycXXcWsHwkj7IpP+*HwppO>mVi*O}pSc~TEe`4&<%G+o$JxpPW^R0>U?N({ zq9hd-Ku^zXm-&L(Njz09gs_JrUl(;JCCD|tLpVB?EZ@S*#Ei?sF#qNozvr37Bn0U^ z;XzUY2q{ZbsE8vYC{vkSI>%H3PiLrNd?QHjmq^UodC&oaPF@p3R3+%@Y_5pHY-p*m ztuhG4USX7LMM-frs7CurHZDv6uTXP!*bSH3{2l@v;WlwCRPI)WLp$KNFgJ!D70&k_ z%Y@ZLtq}W5e}$ffaq4-`MalS1gG5DbC3Qfk$w*U$dAbPpVsI`*i>Ib7iq_3x=L)%j zn$&a)70Qd&sBQ5;FCEOzi{&4g93NkH;!C7d5K} zm#(Okg4;(0>3nFN3q?R{(zp-=YU6(9{emIJ;t0Pr&nDZmDk=(|Ku}aJlI7@fFM{Gw zRK+}EZo32OzC`U#5L`)eHHo-lN&QbKlzj$Vbi)-Cqo_ujC(``9DQ=imV7QXG!|3YZ zgWB(r5+utrYECQtXt<57!&7x23K$iG82Xrzg`<3rPP&Wy2=53J=;pGbm(J%3SQ1Ei z(p&~0au0IpZcdnD+vCs1Rh@!SV$nZwiwOYLoP4_3VY8qWk7)VoiBE$IP_7_S9xG_f zg&C1pZkvR_mU;lo&iTbmQZlrIzn+6>1BoUcZR^6~aFsbIxVZydl1NB|RMIZR7`?1Z ziX2}2qDc!7nKi~;2rbS@s5vbNe$jDvMqHfAO?hg`q;P7Nh+#0d)KpLdARTn@GNJj5 zbsM&k8ubxb0uhCuj;W1EFF3LHmbCx|{)whlL^Y{xu}I(SP|rIl31 z5oNg#X9-x-ZaCUhs%;#RB;59*n!sUSDR=@@9^0)_Vf8GP89-&(fhP%#C*U(#B+^l< z=oDKUM*srHP&ocTH8nMM3c>|*3_t|`auh~_&fub zo~AS-vo7-(tjBdsW_7e&e5jd)4>;$lP|_h5&z`{4e2}Y?j37HA6}fL``9647^ee4Y zz8&nutW#c;$!X9-dE!B<8j3iLxKR9qVNyA387=3HlO4)F@+YQ7wE`m`_`ygd!IaOS z`RM@>0X@0ZB>I~UtPx}RSj3e_f;gtRsUAlBRECEj^zasxu^D3FicDO2N3t zhLMvP+rHYKdq2sM*A?PaL9EP0WP)1E_#1#8oRnOy^6SCsAh~%_ZqM`JC=r8>Mj$cQ z&}A)y3~i5~VluC3lGH(iQx;NCr~8(e9VNAnZ?3zKL2}c%yI9WkTKtRng?H&Y*$?Bo zD=(1nYkTdokS6&rP)6pTufv^jG=FWZdcV0hjoqv3b&ET{Cv7Iti-s@Pj>jMRi@#pe zzx?v0`}f{@W8I6EtM?6SbkoyOzRQucsG5mI)rQO z@$U6M|L&iEca1R-j&03uUlz9dm;7oq@F!RYdj0w!=GkvFwdQm4G_3v}PBjYDf5Yl3-Kg?J|ga9a{K5&}rK@`AYSF5uRp*M$7|% z0Uh(})a@3`aQ-$S*rgA}Km0-W zBjZwE*aB_)^2=J!jOJ{m=6~ViF9^ST{}t1f6BdMhwR`>g@Ev7$@9TXji`v>1etjvM z{K-7NaeQ&pf1X=o30lwmr7xw>K>~O0_DYjgNYYf-61bhMtDF32SLaCJZn%d^FmU;? zAA9b(!F|H+b9#KN@q(@XOz#sE=1C!1k-f885%1jnOK-ffd;9qIS^Be>D_6ew#j{v2 zy#&D69DN1I(4v@uTxUo`i!jeauQ)rAjS}t!VVc-z;bEB5J-P+Ke_3v*@A%H$fv; z-VmJ;uERods<;M_K!`w@2sC`r|%Cn$k&WBd$z8G*#(Zy6smC!S~x+$oe(!-0L+mCJ05#n9IlxsZ84hwc;v0@*J z9=r-nKMlfIozN$(a^XRet8q7NJsw?7eN0c6Q%{sMBr&xOYb^J{Ot)WDcAW_zK?g9~8Suu6W7dPmpNYE)@;E^9dLSAH^IyCq3dBD4ns9?MY7 zvdY#V^N1Nif!t6$2<%RJP~ZwbtM{lEO1@@oE;|aJEwrfr5A*Jq+S<>1tQRShIq3RM*R+QqnMOs&sV4#Q}_ps8zrD$ou6H z&v9N0n({_KuJ;B-P#rg+gIBh<=a<|Xya|h?&!_<|SL}IA-*h|@v%|^ZvZ<-CcEN|o zpy%%`d142ZCqM&QmbB~{_Fk76KDdIAwr>jsdj6iHAwn@)8b!Cqt@K`j7BH(}g1y|! zqr_S6QNEFtwo4z4y>06QNzD*Pq8N3fab#Kg{=04YXT=RmmSgy0#vn<(zfU_*gQ!Q5 zcHow++QDc^XQUVvEn{!ouuLzDBG*{#`WGFfy*YNA^5PI^J%in-dpmh&eED$iQBM7SN6R35BL>@t>QhO;=Ri7 zcO(AJkKcTVAP=W_2!n?O|HQ*2a1W<=U>a&Fo&jzy*O|Kr9$TO{qjqrfG^maxZ7ZE2 zR*K_zDscbJW5MfE?*pHMi!NroMk=RY8qUdbFwrSS%p(tsm__;r+^SkCqU*q=2P_uP zo~2pdOhqJyBMlx#o!FDCD;nZNhhSpsiSIqB;$x7X;q-i3Ar10yqq+hE9uNS8Vum6_ ztR5nCDTx8|D0Va=ndHX=V{;Dc8Ctid@4~sv$j&!X7=0k55hH;&(-q0mlXw869D92< z7h{S$Nv!cYB6$M!qg%+2fji=e9K)r*Fw!WCosk*S=CQyn3YRyrPmC>@uG++%_Wx7(;hKUt8y((+0l8p=!&@e z24-W&&F##Cx#fDlB;aS@Vn zDc$I!TrF<>4!IQUYfqE$P6DV`c;reZ|1t($i|s;7)$*d9MP=U=^mRbCuJ!FU-|~>Z zU?NZ_R$+X9#xHQy@KPCxyu0nw+>@3{iPwVlE;}JJT?J1t!i4 zq6AoZY?X`4e8xK}vriH!C5=Vt@rvcvuy!{fr&GR6W6@+< z&+0sSP!COzlQio^?37pdOXEtqW&o3w^pK-mlOs8Gn$KA z-XIQsx;;QvP@k33-2^{srlJ%q6J`;Kxssr zUf#&1v2t4bg1U0dDPqmo0cOnnq7@@jTU=C;(X6I6nYf;Bk1IHoDKA}42a0x*2MW3N zwO9w6WeZ5>=|nb>85cJ|MrTJY1>}ZY=9ytK)lrQijv)s1n9;l_3+||vei8x&!W|r9 z7tO^gZtNp643?Q2)qQlMT#_uZq8)oRouE9{J0~CXUTp0;Mk{peTOS$k|2XQ;D2|)n;a}L20y=pjt7CY02 z-}WQ2Tke-poOI|8PXgYf9+z#El9@U{lSV%8 z3hYkqK*_b(1qGX9rvm9*u3Ls1qu}mkVL9&3u0tC>@VFHoxO{)f%{9gnh*_q;>EHo{ zJ#52=s#ZiA5BD+QKrpidpZv)s_ko3E<8h`64k_kb%}IWH9#}%&=t9pa;!DM=w8*R; zn3K34_t_r%P&b4q0++(6Z~UI0?10HL(p)vZ3jeqqjYiXPsBt@^jM%2gVAI=?7YJOM z_6Id24~FKnU4`wc4EqQBzD^A|6_OtD5rPS|nqXk1X9=+Fw<}hA)wf0j+ZcX3g4P%r;3~U4WHL}0qPXX)jui=RS9joq3W3ZtGFjp$@DD30`^ma=CNm_0=9gn&Ta2 zCS z)7}-m3o8jrGQ%r$9kl6+<}tJIilunx1Bqqlhiqa~a`k|kOE=koGwdT=J$!xUT_%qu zQuJM46?+=f?=xxfQzdC`l^#11D~X(I4c*|hm6P%*Vv7=@0HgJKw*uN;(`UXHLp?g{ z)e$n|0!E8uCo>qU$moQkPrJl~So3}Y3T!pD5uQLoR;vX`M6JqNAhLZ(lS^w`1JUu* z6_zZZb*<~ON}V3VsW8SaIuzAVkb?@Wt_1J_wDgDs(PC;^9xKr3+#ZE$e1w)-g-A#> zLv+*pNf7Q8DOMSrGMNIkR@$y>kvU)@lcz0lNz^8FmLh3!F{w)Rd9z%qjHtY;)G>Xlt83vuvdU~uDk?mDv>YIG4^;I4f#wJ`7P-@SM7zC+vzVpum=^a@2!@$BU(BK?Q-58bcJ)w@ z&S^+eSL0BP}}NszT#K|rMnt9u~hs{%$sTKq%Z477u76ioWF7=nk1iXRSX z>;OleA3>JXhOJKF)_SNTA&>&k)&&!6QrHUN&9fv;@cHDUthRUj4|QqK`?x&<>^7ufOoZ zXFq#Zf+XRl79wuf(?I_$2c>--nw7DWGo)FS~ao!E;w`+|b!I zCzwC7r+wLSy}BE9k6hh(g<|FPV@v}`UZGi3`v5r%=5<|KSB6+ z!c*{`(u3L~jm(VBLlM!Ac(-rU6`|J{i+wJ_bm?{Pxn_~>Lacd(Gn@=YSNa7Sf8gmX zdS3Zv4`JBR+@AE}%-j&*N!mvxDNKOqX2jRky4D~0)<*G?$2{(X;SU*I{X1;ZCFZt>FKvi({kWo&` zO9GEY$tF)s)+XB?V>$9o$kb=@Mi7h0qS82?@Z+O8eFLWex{rP`owOx|g^$a%MJ&9k zi48o|uL5NkmVr7J1PXjix-pxeV= zW*C7BKa;$f^R+`e@+8Jsj_N-aOB)riuS55toT{OPNM>;OwxK@ zkLWBfKoKv-dt-cn(vXTW!_1&wx-2pe6c@gTRpF~~bp*S{`C___RvjC6MCzUM+ijg$ zh=wSNNwZBoc#r8P9$o{q$RG)8LqzUB<*9YC@h++u-H}W4s{sm62poz-Wg?5?qGCyw zFZLCA#{H%v9IciA> zh+RW5`UYp~=%W(|GnupiE?^%SVd%PLQ+k|q_3&3mfwi2B1?8@oS#MnBC5T)ek)XqrF@zX`rZp}H z@jWPHUPwewI??-up4Jj6=n1>1aO+8{7EyYQfOrIT1-d<@xSrD|l?4ygIUfb`C@F&K zxFsCOk|pzC?c8w9Ed?Qvi-$tq;h5*G@5o)-&Wkx`haB2U9g9_TlTft7NKj`u8Jqyh z-9uR}dCrz8u>hVqii%+pJ`9{p7__oV6&^qx611tHtYOf!MqPx9N|OIn)+A`nww_+8 zG~=F@`t*<-9$(bnd1z0JgH|OU#TxMlE~wJau$2 z=u+N7nCiQ535?$cHoRl;CgC;W2**W%vBY;yo5WFPDo>AFIveSO3$6oM;-BH4xh&%X zua>QskYY6Q?~x2G%t=jFH@owX>}u(Q{qIMbq3a%PzP=_y{i*4CldeCr~}X*DkBA)@!3z!QhBy zGhkUx<6+{IgO7}E>`&}-Q>p<*KtREtENImEa&pA}WY%ng1<_5C&CMMc4b=_g<)=*s zNkM>xleyAWLI7p5up{}&r^{s;^iy3?g43n4>si+3Y4^ej7B27Rd@E4$+8y3i7C`yR zR%xjYHlg<=3v*$AC}!3!L`s&&lfyjk2wOpxlVxKDSGDv6HutWvXm^+E!?GX4NcOef zzS-NdHp3f32y^ZnyEsWblPwr*hhMDk3aK+mZXMrp_kJPiH^RMJkYbeP!H;iopeXS6 z+h6_at$Vk2kALO)@MGG=eIbY zX7nrWgaq#M{Qhph#@V%B`1lw8{jFQE2xV2mDQc^X{#!-QAZi99|f3-UzzgF= z+DAe6tLVXy#&+xIO!CE99uL5V zsC1QUF9S3Xd(wBF3bn(`q>3xe!749u!B{0J(3S$K&lcVAnmx0mEBz z<_bRxdV$j+cR9U6Vd(DrBI><6bF+e?1uHz0TtVF-6i759D;o!RTpqiGkJqp7kOz8a z(*FrUJm%b4iEcj?WXsiX(Mfd`rNIt8K`dZmF@g)?OQq6AlzsMGv_L?u@bh#}QR&^SNJt2WB*xmvD3&nr{ZDvOZzAYrm7 zPhSa$m3zDr#yUq5wAsVQj1X9T_5VH)HL`ZT2wCm^RR(pUycDPsfZ zEA*GkR>|NZ$F;@JNrDnW=__(X^dN&P+u#7=P>rM(Nr|FOz?an;^iXS5+i||~oG`*} z<1WShhE2OJBKgYm{r8SFFRpA)dO+5D|-(+o-?o zQEtcMisGsu;b=`>k1{-$68(KNe!ndQY?&&AAB zCBAl3&D0m(8DQBqP4JYl7N|sDt%8(1d9heJb8FVy1bAmG}j@SkT zMP3vkA|=_@0a^TYC(7*F~xzhvgVWvbsp4|+o45RhicRyq>Gms zJ10dL@s*C37&O^}Fetzlj&_a!Gu*Kx(zG%hVIDuxDw+k0g<=QAT=Jg=CPZBxv?0{F zFq1AjX7g_4#_>E*J5zZ(hM9|QoVDWxMcp1Xo-&>hv_H>+*QX>j{}%s}?|iDoe&Erw zDD%(}^CZmKZD5h7HAEJosv{y<6hm$$nqOdJhY`XsY~|-X_}M-jlF6)?9kEI#XMmu! z1}%%Ivk+)qiX1?9&SNfFR*#JBIjcAhsfBI!lpa9eHcTgV@=}gbzYwW%U=}eb`56`u zaAe_rj|u6+n8EKm3lkY~XuOdnVq&i$s_CY{H8QzWjp_L#+kS=GLx)o! z?zmzRgE-VxS?^as* zijo*M9x%jQ+qJZL*ZX@$$=dzQ@!Oxi{b2oh>&7$fFJGSABn#}Y#4J6q-c$B>U-{iv zZr?_+c=<~&Z?e7k*7|JA>*@@%-31dd}r&r0yu&pq|r)vM24-HgBKe)7fX zuU;Cx-aYx^|NTsw;nqz0FZ@EHIo|#HB~FFid5#6co3U=J!fBW6kAK|z6#n|o9fo7v&Xwn`yn_Ue)%fg}%>2A| zG)^%$%zo$Q6=u+EnS0l2^qYT1^U=w&4fds9gA7gilBfsWc=~Kev-JJS_W?i5#k*%8 zx9}<24mZYlmD0O*47)0y(B5{_nZ;uEM#-7J8yliu-#TV@FE%)4<-%=u`^uqBrTFzB<{RbCj&`da{MWbKuJ(@B-ck2}0sp<*yX=*$*y48{m*eZZ*SQBy zXsp@`>^$1<4fik!?p)_IWZPA2&405@*4X5tUD%J7#V?t11-XR)Xo#`#a;?&Wg(V59 z^ckFHF@vfsi^7w*1H&4@sFxP51W4o}Y9z^sLeNvPqfUu$Uy}AcalCRd1M4a7!tUNO z^Q2tNihcI6b;WppMi~9L8J!>kY{O!{be%8*MsKDbPkbIk=USh*N*pFYn|ziRc_0G; zMaGi{ASa|I(STdZBw7Q7!I(d#!^>D|A|a7NkdCcwZ-7Qd;L>otax)E;_v+afQ3t=1 z9q&h7gn;UXfhqCC4gBa+X2E%4rDxN`-sY)Lkk=A`wF*KHuxc)M?akDwP7@shX}%Vd zCE)B#MC=jM%`R(Q43$zvsox`h80*{H8o#m!WbR1%yszr2@Q(he=`Wxul1VXhQOPE? zT^)}WTD5XXGtLn?&-fmjRr|5l`tV2q8^na7D4LkNZeB!n15s1BbQh>c+tN{M_;p&g zNFxGCvw8QHT1_0O{-*j7F4z{;9x)E8D4~nC)T`E(>j(j%Uodj*BA_Ay3n+ zBJa!*p)^K%jZDNZ3RLPDfzf%s!KRnCK&#+PCMkMo&OYuP`QRdwGZ=%kMeV8%dTO^~ zR^PRi4<7a&3_FuT+YztDhgOLuVCh?2P;N&40(o~8#qFjevg12G5M5A(zJlinO`u+m z_C{GA_Q%B(Nsq=2$vQvFBT||c;fj`4+d3;)%q&t=k#Z+IQ#zCs0&sO)S#0Ad#d8ai zn?VbjlA5{M{!C@2Rqn*qRsckc4zc*fA}9|o_fy>)87`+%$eA-mHp*7{YpDj>E($?v zJDyKjTNg|S+bC?T>8&u&tJ#s&PL$qAK1Vr3O3$MhX4~V5Czx~@@bZ$~g;7A@!p{OE z?BuXVQM3D%+p~GeK%3%ekue!h`WoS&xl+PKtC{v~l++q2ineHqy<*mM-nV%mQtM#J zthPo}+DtOH1#Q3Z*0^wzK?FJEkuj$oQ8u9sqY6rb@@ZCdJRUUr-?<{}yM2zHnQ@04 zFf7C<*EDxarp5zj;G%3H)?)h*^(^F*wj&?SUL!tg35f49GNzW$Lr2zW3VG)`6;R$~ z`K(2nWs89M#CI;BJGmGg6&mCIUU|S0`|f>Vop8EcF_h1i9L;-{L8pK33243YEVog_ckW2ZoliuMOiJj zm(KZ!6JaACFCQ+ThP^;KG_O6U=2J!x#H4*`6lfF<-C=}9bcKsh#YE8{TT{V}2Ss#E z%>6d)3V#JHq?8^CsdlGVRb*}8Nx$SA5MEbJu3F689<|UfLs+zVwrIwOZ5FcGAtDoV zM*XwY#7*43ra1M|%6NQb1!H90yeoLTI|g=WG3+bRZ07`$5{VlW8fT$o+{jNkJBTT1 zHr@|>YcS1eN_i)ud~slJpD{NA&zi;Iq9Vb0piv>RD;t)ckRzJv!Zy{Tcovy+^TIR8 z*LA_wtscBh!A3GoXNvT=={%~oIK<%gDWs)4s`ieKn!Vaj$`}vZ=%RBmVDN}p95CAF zMwE9QDQ70gg$Ig=1TDYAu*AC;54R6Pib=kyi3qci%cB+r=>a_5r|IyfAxvacMdZP} zhjSVapa-#>?9T!sMG6fDG@pfE;2+>9OdIW^L-+`KG9hAdi@M`!x|nwFOH7329nOOG|4PzGeB(|}%= zITzYi5;*T28K#&Q4etX3?ximCF7PT>1=46TQbIO32HHUoJ+(#u0=WB_S?+GK*0%63 zR(&`Hm;5@vX?~HQAzn}M;)NAv2*LhIF}<56x_i$39@1{GA-Hg%C~jVGH?Pze{{GIT zua9mnesiK8@uf@0Tc6WPb}jquHI28AKg0a&pHHt{>pI0tp+hyT^?{R$Nn8GR*Z!ru z_AS|4TNf^9f{&Q)i6>rq>7KiJlNMDhCb!;{1l?%MU6{PY9PTk^)Z9ZqjGGFO`|2GE z-2Hi9DVq`$lsOW7N1RuSbd$#^W%nTKmDi0Us(8m@>JTqvr**|=M1k!f${{E$Bo?$@CYDE&zr{sA=-w~T9bukmN@I13C_5aN0tk>s9eiXpWX?_Lq zW?Y1i2!#r2el^!VZaN>l8AMz0oYI5SN5YFaIQ;@VIzj_(0cK{769!nvD~V9=TDb*A zx0l>VnjD_Ki8rSx6TP063QkS5B5!oKvyyZZw4`1lae6hm*rQKb)}4>i)Q7Z^2wB?` z5{66NEPmz0=$*FtlqN?AFGG|^#5Te4Bzwg#l5&TJJ|n(pEk=#TA*a{HMzx5V;oirK zHli==NYcjY!3Jdk0r?e^R?3D#5y59gDk%Ef9$wH&aWt;#?08<#A`KLTI8r>dGv4Nd zoN#gku;Ec|Iq79I0@9&y2{*Z?0quhEP+y}AQ}5toBhz^<$pkUoHTe<_tD@UTP^;hu zHhPB$IvJyV6i2`_Rm4Jzg2lVR8bV&>Uio%WMeBS!5*fbO9Fa=2&9_ycN=z(hM6@^Zk=?T@zU|W>WZw&D$53A{T9RJfmjVi(GaVJjW~EGrI1Quwdr=<(bzrNYAjR~ zL05EzM+~JWy}cop)FfnJ{qn-kMIW02WkkovvV2 zC4v`z4EI3yk_l_zh-(IYozs3tE~|17ZOZ9{`i~S9@#tKWY)+x&#hkKF+_(?wqRz^; zA`W90Rp}9uj+?&4S7{1b@~hZQ=m_kW!&?J{&?0ul35ul|e8^hrvQFD4v zEUR@oxoUbDW{0A~mP)opqAN4k`4$@TQ%gdLVo-#>4OB~#O*jWG*yNM4g2&B$rk18A zG?^oU5&^snLAKv>!R@)DW{f|%DYMy>QO1zeVw-^l%bqf55M9KsgSFT^_(l`tu$5UK zjq4D{bM28irjCmU5?6ER<%mkeTzE3d3CqTEbbqGd=>;gRycx|Hu0iSL*CKu6f)CN- zt|mpD>R+tr=4T8_5vy!#Oi#<(RZ>KqClliGmQ(Lst zw#YJ+B-&8ck(svbNUXE;5I#KxGSb!6B09o4QL-W;$7y((R1ccsV$8?Kjj!8k-y?do zpNo-q3+`!*cKJ9Wm-bMMvbO^t@}dP7(0Ke5RHTGd6ae>?^ZiALJxaHuE9{v7-cqK# zRnLqgaBgpGw__eBu5N`A=ziQAwLFYSqIBG6k>-G{LS=Y>JI(EFPf61C>Lbxd#f0zx zju^(CaLGQS<;4O+_?#^L=_RXP%bDVJQ-MBR5s-k*qxZ_5k?V- zluLwm+8yyh&gf{=HM*DDylZ?|G~3I-EHt3+3ks&MmmNWf)sf{n1OId1(LRimL*@>S zj)z+jSSwM41tm@iG^DNsXM8?ITL?VunxkY0z78lTRQZE5PZ@b;YVcms1i^7=wtZ za9&hpI8cQzz=@2XIgW(ZNMZIKi2W~NK?@9zH#$I;-8a5*=Z>`a`}fWXY?$+On? zIE7xnF8kHXuU>xo>93ofhhXl~&g*xceDRrIM!~4BymDc2WuU68>2*&RHQrSl_-s{q&_I0V0gL&Ls)1 zG;a5uB;fcaB;X*s6CnMc^~^lxO3yxPB`utuv60mNL(+uNY|}h-uR}Y{jEmw~_v77R z;iPMKTVGa`elC19)7|gj=4$xB3C_cbPH9iLK72?ax(Lm>HdxbbXB;W!8mMkT7vrlO zRea^!Kl<&2NapqHXZ2M|k#GIexw{l8|4sPgzxw09`sXSJ&MdOgj^fV09>Q6FjRYYK ze%UKU76~qMQt_2NihD2@lSjm5j66pugfpp@5e-Xw!6FK zW&$VNw4p2a@4vOuI~B-1dMbq>%Kh8-Z=WZD!vFo9|J=xu1VfBuZ|LeL1MqEay~_B; z#lBir^be4ryWKrdf?=$w&;ycZ9XBBCbj|P!qo>&%pF+}4{(`4)>aK~_^G%>PO3!Gi zLOor!;BwsJGsK3{eoDs?E5PRQXp9nNhZDxAEoDJQ?=)tuKHe0)iIN{dXaX}zI9d^!T96SzS z2p@Wav%Z|tGei`0yuBlX1LY7hwn~~8Gd)d$I2jD{#)Cg$%~Yqd&^An+5dbU_|YQvI&%hW*A(j_RzvoT9R5z z(pKd!h@)PKdQU05CA0zi|Fic#z>;P6UEjI4Z_joQBTYAqV#&erX=NNunYi>oAPf6> z=k$sg1CdxrCWbA{&dM;vpt1~QIRdoav-kC*`hf}kRAmtvQoc_PwwYAC4w!#N+P&?G zbo!|MLKlk4A z@19c^Gvlu;WCeyPQr3v5Eh|oVyd=?A@O-~z9*K|PFAO3I@ozm3IK zA+z&ZEy|RZ+$2L&vWj%@0-Da0*#lKV`2`iCD)Ut|3?--LCmTODDfe~5!CBe5O#$VM zdIsX7`c_!FW?bOl3OFkBXx?>!%T47^l+mEhjn^<3e4#nV(qPF+sP&1g(#p39wtrvC z5^(et>ya=THKFNWXo@z_udG-pQY$y1lx+M))~{Iggy3t z$-P0qWi5XUC}12TKb_9NRMlWf8kTTWM@u*si9Uc{60+=#me@vLVn^>>mbqo9TsGNr zz5d=}>6ruGqr(~Y+_J0^eH_SgN~KZ+izRbu2ldx=?$4)Tm$ttpL6bB9QUG2mZw6y!WROb578Ci z?DB>6T&A@KhGPlitYD0>z;r&=>Tmbveevi$LqEsUYvGlx$4lzBf*NK1DV_SGowi91Q|Nq6$UYpl@EJyui4Jg-zkS&*)_);U05$VKPJ4bt^F&v!7VKE^K$OL8)*|~=p z`*2LTMxK!uegUDu^}tNL5FQthwK9ufezF@CSW6t4%qkep?L=$N{>1oV;&w_KFJIzu zF5xunuWh;}M8CyrwLzEx9MK&m(axt9eeJR%lR3!?IH9u=agh1UPg5INa~mwGvLqNI zA@#b`tFypG-8U7arL+>(=5C_*6L@{3xFWGZ3vdTqy!_!JbPQ25slvgD$;}fAa}Ozk zA|i_d_JpfU0zx_;|(UB5!+f$@42w)wfcZ5Hy`LT zn-o|Uo%hr#3==;IF+*?iWCA_rM6{^suqztYwO6B=rjMsI6u5T+Q5R&M4}&l-@{osq z81|MZF>?$>lEl7)ha7ROLh?yl%M@7vk!(TXRiZ*M`6x?1kJaX3Y|=SVb*_?t;KFbW zk2pOx+?ESk_nQD}Kwd?WWtj;c^$rhudxLR?KhzXb4M$Zk_Q(B2JtCWYY}B44sbeWr1PV6o?L?K6F$q-BjhD!SlY#3JVh`2nB$#>2fqVB_-P zcsef0t|)4BF&u`Y84sQ~9S-N|)ze5BPubXvNJ#8>s+R*H0wLHGzrUw*U5TSFA?lit zVG>wy=^>~50gkws)PZ5lmRNB)J}k#mJ!Y}6 zE(WYhlw!#tIM8C~Meb1^5xo2yi;)jtsOg+^AU%fQCUe}PE;$@}rhe+6$~5>AAk^iE z@9an8{X^EQx+B}vs?bA-*d`pVyl@A)X(&qjJ|YTKxTX-p9+(y zED|IaCgrY+p2YzZN~pW26a_-4)`KF<4@YBG%ns%(+&${;*VGVd6$}8+TUnkscvV(~ zXL6*b(xFwp)SC8S)k-%3Z;8Nf!hPI?jSA|>lM(w0^v5hY9uC;G|T>2GN4>+{LljM;*$T zv6@137go5`Nk~zoJG_Y27ci=wUk2`l8t9<-%^;k;t zH?w|jGs`!fK!9$5{ovZgNYFIR1AO zY&{?F1J?)tTQ@8bUEbZjzNJCF%H7X?y`N*>&E?>;bZSO_r3Za6D&E!{!!%h&d&Xx(K$N1yKjH{ z3vVB+K4WRv%ndaQWlhjB{i+uL$zBgEd3yK;{>=G$>S;%sfM&UyOrsrx}^EfAg{4PLea*a=a8K=i&l z-|k~|^~d=pxBt!G!%XF7ZEiac$z7P$J+BzPx_+P8_vxwL!qux(9KMFt?5<|HA6vb9 z_X+$h{V~?7>znY8u0|_9m{u9_LG_)78hUf4R^wY+J3DE%S6`i8y}H`f^r8`)(s=So zzP@hY(W}!QGwi#zvpKWeZ@v}Cn2iQ+zLh(D6aG;ic{f+-`|+fKCMeH>_WM>o{XSI# zYRp=LXP&uq>CW@JyH7K>%y-j|n!mF~clGPvcw2J*mOPiyIBPoYXab8%S>9@A`<3GEW+`yhIA zDx>dvS`uo3(NigWblP_po(ZojbszLg5)bI@c)AXSnLf@WI>D@U9J4#MwWVx5#zA`G z-9XGD5f+(4N3~HH>ub!2+l!r_w+l71O~_MR(QwH;h1{UL*|G7p)^UG}e=+t9<<*8D zn~go0=ETr+h#;AA?ZYWv4W!WR5DMsKi~Pq!31`sByl^9ql~FN7ii7VgrIl)x8c37GZ-Lf^Z(Ox$HN!UxYP?7T z=R805LBUl`Dm93J(qlk|o?41Rq82~D??D$V)$S3JZx$tw?-sR=oc4*UOnF*#4Je9~ zZE{IRWp|BBstVUazV;dqXJYH)0#qrr%2dYXaQ)C2_) zFl<48J-N-C5MWM+0-WXzu>&6`Fszg}xsi*XJ*^6C7M6pD%h4=mi6*XsLc;GSB|RS; zWLMs2djch{r#b;xdT{y1Dcm-A6S9rl_GR1N#;4W^l!(RE;fXGq4;xy7@p3v~27O)X zawP3>1hTNAB3FEGZtUm_Y((PPcyLppvaCUJ>jAZy##D1Kk#a-*jHWb2WZUC|*)rYc z^6!(iQH}`Aeo!s2wZ!{!^xng{}iT%9PYz!U3zRqOofZGhROhQ$%h7RI6jDr zb;XF_bZsCaF-G}iL~=N$SgFK31%)&id!#YD*f$>|3IQ585`|-CX!@K{PcNzzOOf-PF5`&wIZ z31(SM;V>ZPWGASWkQW+eYoNfd`!9huGzyEVu2E@D^mP)Oh+^$PKG`d4Vx%g}R2x`C z8tgU-LWz+h-K=5+7NFEZ7=+%z@Zhj+e7f}xxyrSlEQ~J7D+`C*s_Yu7;-Q4AfqEQb z&-V+qm^k!)T13%9A{H<+FBiQA43HQhH4yTH@*r}9wxH%t#FIXragk-z3Sg0nN6SU< z3PyRdlUWQWbwBod(?Zh;^#3IZ;EV7=t05RLvRL9FRWc@;=*v0FZD#92Q6W(=M8O46 z?(>l?Lm^Bp424~q-EcH+Wi($EgCvy}lshxglB3Q%AHj1o9(wH?wuZ-3uY9sWGT^^xPw_6bf`KDq8Mib{XyPS=*(u?g?ZE5GBo z2Itor?A*M1^NvfW?B0Cxto4W{O2!Edu6%M$J41tO*RHNsPhH$<@m~Y9ic(*ru3mq~ zbJVZ()>ibLCGWAT52xN+M|g4`@4c)U2xqAW(b_HT+O-{b=Z95;HgN5mHX3~R;!m>Z zw$&iz>7%UYJsr^C(Nign21vY8STHU99^i`v4x1Li~c=X99x9-CBTiUf4 zXG}L%$e-;gI0_o|^6v8$$Fs*Sc+pdm>~9j`Occ)utD{#x;&9wyskkiK|bcw5UcI z7R8+bnzKz%H}=}1Q8!b=ZUbqmcH{qzb*Xl>cS6^-9c$HiyLxVOd@+617euW#4>lWwY3;4T)Mi@NS$antph2Us%4u5uglIj7hRHtoOet) zz4`B9OxIjA&IRQCd+=1CJ?EdR@VU*sI%ksqZ(YoDdgz369!yBFRnOlSt3vCo2*?=1S~ zgr{w0(AsASyBGaLxEIwcRS*6@bU2Z7FCCE)MAsJ=ng`$)SBZaLWA`MiZZ517;0+@) zxy<{r@zQf((}~>OuPBE_sRYUTB@!NBaUN2iO=fKN3@Ny2koC9C99B4eZ6Xb(p8bjdxppVmd34RMRK z?dy`xrfj&P)6X^6CPcz+_?#)^6JrORZGv>LFUr}>w`RsHQxj=vGA-eZ&_B_Y2Ul#q>x3Y$H9T)UdG9iu!2HGkD==%cuCs^g#bDP#S}qA(&n28s&pP} z$!7$tkgsCuv}3-4pK6JI*GM^50f6`#SKMGYlQY63_NyrwM0PCNti< z5*c4NVAmZ*UCV1NZJVp;DHrssgI0*2$ODC&tOIu( zQEnpU!YrJl0Ij@GZ4;m=RPnB1y46FDqCBWM zpDNgg?pwSEqeCCc(rU~WVeMgYyyrgP6L>y{MiOc9)JB)ruAq)-ur@f^#~|WYI_&`M z7~_1zRNGg1f0OWGA_a&WTe7S}EWW(C!yd<)6~eJ6J|)UM>az&X1h`oUs>Fode; z>!L7cjqKBa9nx;!C&J_Ux`1%!WY3J%@NJ;dzCM6@H)uj2@jzi|M-4ci2gT7TwxO4Fi-&-ivvOn}8 z+3b0K>NgmxpD_(~rNMCgYUgqd&rvxD*1b8@QC|8 z^&ha?>^k7n31O|^#*UA;H?Gh5Iz~(0kzVRZz`p4IOG)b8r!OY>f}*&fFDPt- zOKz!S@QHywYyYcTEAEf6Y`ojclQ;NdF70~0LA~J(oYVc@-Q)Mxh5^@$)?h2t*`93; zbWZraG*~^ndU#7-HwjyW7w6g5pb6ve7WNCG!Kn(==~d9s!U-O~g^`b^wtX!-;|+2A zr_$7mz^{M82&eBUvV!tHE6lgeGt4F>lhS(xDHk1UubznEzbTDgYo0Y)@K3=!% zo3Dw)|#F8NGp&<9R{ta1{ z?ZGXFcf?uLxC%U82zvN?YzdGV*0JL^L_(Ni?9f&Pd3}l`_~60}KNST5`cPOum4f(F zZ{D67Q@reOW;??7aUX-cPKY>j8BBeDl(QSzrd&y&i;1OdIGY=^!3s&p79x(hU6F9g zr=ym1%&t3r37E-mMHY&dL|RN0rRfx4b5g^mbWL2p`Ly1$pFVt4W>juAg=<`DV@&pe z1B^}}^O%IDduD<%@^qb|n8>8$ZjknPS2T@qb47~F9F26lsn?Pdr8SlM5l06dW()QZ z9JxLC1~uJ-s;;tS70~FgnUPO5U+j}6O|LK}_PUTy>ITfyFd zsL|L%>`L)AFm;8xi^OhMFIH?j8TUNNU}T|v1VU?oYMZHNxyVLUb)=S_J6vRJh9#y@VC}roLA$)>_Gak zg+S)n4uM_$DNJb~SuL=iopbc_c?eV^_4oB9zU@!YaO71E)C6TjpsEWV5LqAok?md6 z6i_P-o97I7NnRcv9gb%dLq6>H{5Xx5#29ruPHc2^69yz>I_78RBkbt3Wn&BYT-;Y! z;V7+1H697q_dUOU9JbsX<1l7BmR|2@d^n9$`u+#i;a)@oZ9|KMF?mQ`@aq6VYTUc& zrJG%EsQB^dO28ATHyTpcdp`DahW71Q&{Ab*2g>El9c71E?kY4m+}jUJ75&^5n6}wU zCVvA9=NiLA z;`Gg&+V*#<2U`w(x3BvAA>huxSbg};9rmRA+w0xNe9L#5?JsSUuUvWkX&R^>ZW=V7 z^WS6-w2lV5x715*HE=#@uu0cxF$?!zw|Z~eHPudUPe1+4r5E14`)){EyP!Gu&_ncp z`RMw}rCoPRpSi0$+#I_mNinM5x>|j@Sh-ub-tdM`uL`p1p@%-Uy5MfIzkzs8h4+5T zx^t}X7cM+=>CS@;#^{xQ0(R-_O9O#6u(RWu8!pq}Dk79sdt%ev(lee-?y^A zL7E=!vXOfnzUr%1*Is|+^{*#;ZqB}8{95-~cfKtR@vc^OXC4ps68xO9eetOudg_NR zvX!4(o&VJFpkMV>HysDZnFjsy4_55qasD$MrX`0rcD$d3lM=WV-yh$9kyq^bPo3T= zuIpd?MU_1)j*_qLbLZAEz2z;d-5-=^&1m)4 z2-X7?o6%5#Z+@-Ykl>6*9ySaWPi6y}B;6gRcPES5Nbw!cfV(h%P~&6WfRa<}>6Sw!y+5~962}SHe!CO6lLjTOOx(tk8-+fNcySAo1Z8^?+2R-G4{l-+iBr7d zPV#V|;D82@@HzV+vws*lFyPYUSO7mU)hF1lVD>pr?K#bB2((#vkC!)8uH10R(3Xu# zHaPO5X$4l|0!kSPlAKA}h9U{jkzTlZv_to(DloG> z$js(YoC-_yG4&oLzbyi=mQnSfoYgevYGdwO_D5+}s2QY|?SN6L)IwGxGeJ=`4iLdI zx}`MuwM7|-WhMnu3haFIEX?ap<1Cm#{fp$$d}0w;4?(> zy(m*@FjyjIS=tn2e>h(9c5tCCNhj?H(jb4fK1uALR<4bV?y^a7OhOjw#4&F;_QL5B z8oKLM43aFTuD3jxj^k)FSoY>U4%Uh>`d%FL_XwWoiz)q=>1^JMqeOT3N4*F`!*OUF zB^f`j1F^dj;>4<~!;;b%#?~NHgS+4y(%BP|CM29anCyB|+nQ2qQn}l4L z>2g5~)3t=jzBr8DNT`URL!VEX-d;wBTr!xm9s1+Ja!NHsqu$hc`ry5mKBezWMO{+1 zMyIv}#$!C`m4tnwAH?Zg9LqI_hoird5R-%rosk#c3rkAW5)iVPcf+vn?IbW|&zz$) z?W8c#vphSbq1>JWCi;vWF)0LULqG$z?$4&;=x-#5Vp%S*F!i^@Ne{Lb4=FD(r-xb_|1y4gPH&gck@VVv;L@~5nevT)!Z`z(HqIp7i4jHM8x6=NZ zoUxcfRXpedTzVbC>1Jjg8fOG2h{%p+d+sY7TRm%062yjry#}9L3ZYXTPe3qCdU*#o z!KY<02Nu7PB2mZA+da1Ts8Q!;dJ8CLG(Sd0s3PKMFPzGoyF;U3^AxE1aHkCMxHMWP zMPP{+K_TDE0xIi&1TeG7ETN(-b;C03swm_IYDbq3Ti68h zCD`CvOlxm=OnK{~dSZ`xtlAq373q1!Hb|pCJn#Ws;f5fEa}fY>}^nrd5bCezW>AP)fDGAd!_kd&@r5R$4O zoH%p^++j|wQz{xkMW2!NEVBPECrmLf;|a`f;C+}lD{{ccGCVt`xoRbe9!t z)@Csve&rfjs^=3&HtjiY{=8;(sGKw7Bz+KK&y)ouRJr1xx=Bxi5IGO_5YZq4FhRe2X-JaApz6U5DXE?d zgSIR-BUd*2BgXW#LRzI6pyg2k7{QT@!UZ?fvQftIA{Jm(E=Lp-eiOr-ZNxQ6ed8%t zTC=Jnx!9K5N=Ub6VSE*ncoYbGij%!_9^SS3%!7>D9Jq^u?HH~pohqBTEa;JejJrd* zCO0u>q>5O88W9Sfo(@&bylA!L#K%SH2a1a6`n;?ai%Kq_z+ups1;YU&=PRoM_ln+1 zfzSyMh(p7M%1|<%I?m4A_)@XpaEmyVACk3{B1c@qc_ePf;c`$!=BHGkNqSp=yDQjv zf>sZlRRB|jhXuX81g0orRq+JLpA%ig!x4&8o*UB35K_f_l+ADFAl%%eIIPOKVSCc zU)^YM!#)1M@BjVt`E|FrzLw7v;|)#@Qz>X7aq)pQMUv>S-`QOrK@A9a%y06f^%>yyr;g2+r%d<2{61D63xwT~Ng1&}!ZTT8; zs$=&toB2J3_D(h#w=Tgcv=@hZaT>UXng2T#v_k%K)j(_6?*)%udHCT6AAI+_UG08q zn^*J7=g;4N|2Fod@A>D?T5nGDFG_>+=iRm4(|6Tp+I#ov$vC$${eI`UW~2$vTu&vk zhcxg0jJH;LU>8RBP`zBLZ#4|N%*JP$x zE0JB>W=yR|gl*!0?zvtp#AA$;g%^g|94|Tcl#L()SBf>m)x0u|YTl0FaX0r{!txqC z4zu+6WV$QWmFPkwft+jJMJ0DT1Lc+4w2Qekq}xa>OCUS-!->K`v6DetV5Q)NZ8bpR zx(Hm~l1}o|T3+!azCqg{N%tS(RMmVX&Zm zl<&gYC%I&_7qQ9A)NxFno>C*I%{CUBVRfREDoJN z-Q-J1>MOTesvL)iGO_BPOoZ7$s;;%=9r?Vabuh`~Qql64Q%w!SmpiZAE9g0>T)@nz z*0`p|8p|Q7Oct=a$t;LecnvOA4MSyY6iOcWqIpJ2RNI?)e3LTq;5P6nX*H9pq+bUQ zS(5B!Vrko?i`yhv7_CM$i1_nBWcb?GPm_fy55Xs}9vnQ%55~rXEO|aiP7^>_icRAZ z33OL(=UYQCt4&hG7x@8AVaRzZD6&TJhVGTa$6?kYvW(;X2vO@c24u@sJ1=j-hhXl; z*cLD3HpXY+ILep~?4v=LGx#%B>GI>`DbGn-)QC2L9b2K+3Fmn-&eh2W+U%D*K^HR^ z9ZVJ><$p^zdpsB(Xk2niFP|8hOFHV!A7fILNvjXw>73!WY)6PmrLqEfLB$kKrZVdSAM!0WZr7Mm|?DSiBD z1+L?0T=@r}&ISqSfTVW7%S|LHB1LMdF*cBw%G&;fu^Au&W~FR5gzywX)RJrp!RkTx z&1ZCsjegA6lVq2-lz!_NjfSKCxKQYca52OQ5u(6wf*Hrr!o=o*gx`eX-w2luZUleM z{d^V-MgxWiO;{V!Y(E$t_F_>4hCHUu>(Mr<5MqUZS!W)f-F(U-`IC)11gb>~h=yRa z7In2 z+gsjr=GdlxPrga=c5h`gQ`O-vyZ5kxs z=hf8NWbKJiO0<^DYNe~%^19@gEu8wNpBt<+9}ZmObg@>_YV~`rt$uy?>*T+e25p$n z-d;5if@|&@J9p9Vxpr$wm3+kQ)&tJ(Dtm9b;Oy(uQ|uqB;bVOTIy}PdytP&r487`& zcKt`(*TN&v*4zx!%nu9!u732TYw#tUB(Af_9=&q@`~?nxm+f|MZPIQJa=il<&6^-Cq45>-s$I<8yCmOTs(ZOoro{@{lwwbvV@D#o*XwhPqT8((IFAhO6<>HlQkf_@n8?J`QEJ9Ey{L_NUqM=##ulYo#lhTN9Iw8z41}FYX z)UFnWO-K~+NoFfK;S01WeB(xrl1sm73Wn+sQkAF@y(vY_n{1$juZ0pvN?qNex^@#Y zXGzh)pZ86lRDi_e)HiXyN1Q<}0NQYU>*m5(%1_Tfk%0}dt7M{`Zg%*X#7T+c)-bS0 zlM}6z@P%>o7yQjU-Zntq$%o9pS?+*FnF zXad)!6dGZ*-L|3esjNNKbvs2YyXY6gib-tdXpO&c^-#O!bc(2zaLhIZ79jmG!sqC1 zVn(tWtCp;gL_+bnQvL1J6E&mn4VwTBcd#&HCE;awIR{?OftPdOS3t$x{LBvW#me{z$@O7(S(J;x% zV#m`uw{RJq@(JpZzLj3PON)cNAh5vXMoU-B40Bf_k_R>1NinIGt~OBNMM@tbwm9d61Y(6Ti~QtEQJd?e zVQLvV4`iKhMa+XYtoKKt^eg1|1K)-iz+jV~Atlw=Wu)cljs$32xRM=PVjH;iC$7d9 z86J%+dTxo);w&=nV)KL2z0t+kN^EW6$a1f;?0b5Q<-jURF^r_I<;smMHDWjQp+Smi ztpsk*RS}qi3^Z~>2?94D&NKnsmXo39W||Qd=p5(=|RBCeU#Pv z%LDKzR*P5Dv%v~!(5^TTx`l2j2v^(dCe3YExLM51kP$@@crjp~0A-ri4_DQk{a5O6 z;0~B&UxM=ltpns>3|1>PrMM9kTw$|@iG~^MbC!_I ztZetV{~(c@uHbU6t{c9S)?y1aldsMy7Xn{fXC52L;HJT2ZUdQiyIHS_ZGb(WxChqj zU)=`39k%g3zTs>zCJ8k5bwiydfmGgZ_}#6axn-mF0l2ZcvHQUf{>YCc+Ux$a)#|D5 zm3slS{3q>)9$IOa*eyu&1~ONxZ((~E*50nZ?a!aS^TtMlumAcR?#Axr%SnT}e(Tpe z_roUl9q+htV=IC!&#V8~>Thi!@T@*Y)W60)s$A#T3FdG9&9gN)9`+0GS3ZS{L6duK z_u`7pTC~9q`}vZy-*MwR)@f%`*gL|Xgx%d+x4PKReCB6{Qa)H$UeQeF<;9R}I zV(IeA{aC93QS7p@4*Q^(ysy9UlkROd-ewwHy>peVk2Vt`$7O7nZ_?mn#LS{<)8GX( z_+C`_*a;1^61LWQRo1cgzndqNHl_&nvf4>D+olK}39sy*^+XV-<^gR5EcPqiE0{lg zws+BX?4jXd^N8QqyLjOhWesP7i91KkCqR=;Pe-2-81%*0pG9M3`8_o_&vw+$TzdNHC!V_sHKcs*L1w(GXwh!S=Bk>h zO}!Qqq}BrFI2ghcig0maK32+_jLDWHdyPPsltt<{8@GCuK}|SpT9AB7Q#jfx0$~z> zYCv;^`T^;dS=7#&I9{<8T%}uJiY1@1WOs^tBM46q)J7f%QZ>xFnF8)iP`5TgSLnpi zm zJq5}XZ`3!Fhlw6qHoH@g@Ez%kWX}Ywm30Y5u@x*eM(zB7ps92+>?BVdF9O7r2esB6 zJ!0bUZVigPP(q}+=UU4Q7Kc}=mF`sYNj+-}>BrBw#f)40trnYXZb)Zap(S~R_NxCw zK8;I~60veFI=iwopk~QeB09M|g=4~XI<$(NPHnK65)#cbZK}UXoE%%i$o>IXT2PEa~Qgq)x0M?mK>w zNA-&Z>R8^JB4nJ87|gJcZKjgJYlw96V~j3DhDs0jDW%ABFs3?kJSVy;ttFi4P-w1k z^wdjs+o2-HBBq3;mlq7GmIYgO@vve;wBcc0=?yv4N2M8#%_qnd4DVI#`Sj8OEvz(% zTMJVWP;t##L3kjSXq)x+=`$C3O(*fNmipw`y8J@L-Q{!GUp!3E+CcRIP*KQL;aUr} zOkgi1_7f_?{{GCJa`}criZpP z*uC1T&(h%eho67=^AP265zk+Ge)Wk@tlsF_Z!f*XZ+%0WCgADjUREgLbm;WwY5!9Q#DstKlP~< z+t}_s_0&_{2ztG`?)pDF_iFc+yZH;-;|r%rk_PbuANW9*_W9A^*S~I=-TZ~F+|%7F zR2Z-pm;U#OQ4S07+fH&`LA8;tpJt9fNwEvq?_DmDK4&EYloB&XRAy{c0`NVFa}F$5*-Ye@*ZAbb@EWCW1zD%^!ZaId{Haw`+T$nX!&geOsV3ZY8rIxwG&TCTFY2R zB1-b5%7JhBrP?9+)q&}}x$q!=E~i>$5|PV@R!=^WeY|s3P!GkXF?B1?ZySo?a{%n+}{^qgj2) zFDYAKxEJxDe4cEaqOLu-f&K|h!XLO^oE1y*6$T(-&5V+PA#6OH?(L6>+Yr8Jn3-;jM0v>OfkRPBD`BbR@6clRAP&V66;bK?5s zg=ar1TSr#@`U~%VL8rg|{733rmoMMAp*?gy@Wj)muIAd=>c})W?SxpJp&~g?fA}m7 z9(yc=J9lpEe<RFYoSNzj5`0-};|Aa1#XnbTR&8#PK*L=j?&+vvoU)BOv zyjf!lVR-hv8geJP(Idq87NkD1(|n;zcbUH#X3Kp#d-7(lRx^@Nv1E91{z1W zbZPXe6B_Vh;q{=OEvfcf489k7ejk+4#j{24kc zBDD6Wt$Y2(U0Gi~z>^bWW}w`p9&H(*fS z4QCbYg_@?o40%O=N{cDbWb-K*-Z}otA%$As*`8Ou@Ie%x%c;w>z?}FLDnfPH0G}rf z4zWibo`QTJBO(DNYFMDlq~J}RsbgZxjZG4CATv{vY1YwbnYqd^4JB%%hmR4!B~BxH zgh#o8i~@Ic6g^JzBv_spHy98;AQW|^nO7C8D!d-83g^{b$}sr}eENYK+V0zi#IV|s z$=Bx5(5Db4siF|rZpw2NeXoiqs1?i;t>@ z8aDkDgg_)fS<`}|3=M*LBSHz7mBB1B${3X$`lX`#gphxd z#W|KEt1GjK){dn&+OX0r!?oz`Hru0UpeRp_k(K{+L?9NQrbE7S3UBT7yEC4 z^AQdl)%x}0`(HiV`@J8^${PAtr#?3tZi%EfU46>xLL=l0 zt--B)$@1`*rom^Q-qS)p{g(fpnegsh{Jrx)&(G6jeRjB~pT2O)T)elJYg!yU@3)WN ziYNlFi<%Bou*aw34A%Y8)6<@yy-9!KywgNw0@}%_Tsn0?^iyL5dQ5Nnc?_|L9#h;C z6DOBmfq?@{ldIGEaRfHlyfcN+)nIa@(=AVp7|?52kZ}=TgtM|&XmGKZ=CSDczK7^PP8eRiQnZ-80@Z|8PA0C4Y73tV; zsU1PT)~l~P)A+P0p5r5CkKap!^aIs`r#sA#vu7i{#REEt{m`#ygTtUu01_x#pg$NN zz;7RrlXoUrR3Mq=veR+oN`Vqh`Lf~J6p8o*`$}sA(viOVz!!X@=Ry;(?`R>a9izeh zDta*wN|_s|aO$<)g8TwW9CFEJCA8K4QsWPVr8T)d-E}VG2aO}iEF!)c^|zuMAN4^z z6wOQrzR#GN0NO1)f5AI-v*{-V=dDu;LdArv^v6=TfjfdyyFFx;#eu@X5ymAmI%O;h zMLdf$Ox+p5m2o^6Oa}uk3rO{hV^Q6-3Ke$`(Wzx;T{Y)IFIXLbE$`*v1*QSEHUv-t z`?GP=Q}WV3^Cp32Wk^4c4W7YQ49h?W+S>$Oj4RX{Ed0XHiz-N%t0#yi9U5|IVB3Mu zbQly<=qV5m&0&=mpeA(1Rm&G-2o_u;^3!9N(94pUe>8FPfqcYE%s^}dj~68g zG?$B&l*KrG&apC*k*!Y5ELvqg5Y5Dxl9Yg60j+9ZH)yKr;Uo}w7?P7kS=7pkTvQ%3 z(SB;v8U~!@j*Nj}G~^?o(zDQ(d2eQ%s^Itq?nyQP_VBW8ZOJFXo^u|b!4ln=(bI*9SOa9G=NKw#)C0a51YJ(`INzt2GK(pf7UK{K zR(v=@!_KYACbUbc)S?InJUOWD1EwJ-Dc5rfrEodTr2<5*6qvt}o-MOOgy>M963Pa0 zWQ&u?1LG2e>GzPbXZ)eJpXah#LB{dQ%2Sk9}mbdem1m?JLrHwE%H z;F%$cEchJ?qpN|Ccp_e|9A8*gM}4OJ8ZJ?k0j?LloNl<}$k^KT_uWzIFvUHE)oY_i z_Y^Davs78E8$C>VoWK^rQ%q)yN5E6g>?ws@yU1O~0b0c#+`~A9)1s&F_(rJTpW=@_ zN?;K3eQx(X#03_!R}xXVLKYiIq@2@wC4nT=6hzNNgn$y#VjMLTx%iD;CO`&loz zayHwqYnOKNC^IrVtFo%3gH+}k?xSw*73GXOmZev@iW3%!$#F5fhMk)a6=tY+1d8c8 z=b2Go}lFHj1n{DtaqTeGF_$Uk%0fPb<#dN|u zfO-i=>V`NcLTpb?>4pqmV(UB-d6)AA;wm2VeY`I&wk5;wZ*am!!-nh0b z>kB_1)a@4kThudl?k5%ULBr#nCO@d#tt8P`tB?OGmV5h;{)JlhTi^VuzazWt=V@NM zW(76urDhxi6Ky{KTD)%WmPq4}4tZ?cV#{Gt;!AfphQT_ZtJz=Py?9 zvQC`;`mdLNM6Uny;ObSws3XH=aI4q5!E)v6*EjQ(J3sqfuT8{X{GLzSF1z3N6@T|@ zg?ZbvAAL4alKJY7{lmW^*IRz;htAuc!0)@Ux_IH@3%gia9nE*(mg_(L+<*M9#rMFU z|JC%7cN_bP9^P65aU}TVul)-zB-andzx#f|I~W^`A_fU^((}=BV*ksr&s?<%~LZ-~Hf&`nxchw*TyNCJ0uH9an8@9vGZTAe%gY4F=Zm%5; zc6XW;17f~&r~H*$AL|5riOz@5Kl-Sny6-SL~gJCF`3nw~VinQ&wtMjR;1YX(D1)|Ra96m{*#gK-q z-K_9PpTh$uZQi+OF}U2iw5%4YI)Mq7x=aOB9XkS~9*SHYpz+`ED=d&{iKHtA`P54X z2Nb~{m;-lE{#VL`9(}n%-@-VMb!w^58AFRMWNnU_nnp>18m7uC%~caUNR0=y&E|$@ ziCRq1CIu62&#b93S=%tFzZ3Wga+E|P91=SSavHDzw_!O4G3u6Rik{jq|OR>b5V z6gbF`=y7{|LHlD8;g z^3!;Z)C3inp;aqJ-h6SO{pyH)fKq90#1)wql6S-B52YH~(rKD(OG}C1hyg>UE zsT#HDT&q84tmtdNIkSyx+p4y`&P5kzMQvDx$W+megGK7ioaKS(r-Gs!1s-By6mW+? zh(YL;bZlLYyJ)gJ2@+<)np!xnU@2W>uX=R{(xg(C~aEyn7OwQVHn7tg{NI? zf)4rcdCtrw;X^UY3aTGNU1jjZ4a~eMjbcf#pqDaWtBMk@ursc<+EhH{W*P#d2eGB3 zIC?gGMt%|^iB|4<))jc?3tv-Hb7sT~@2QnyNnI=QA*&c@DCk2}#HicCIuRtIg_%=v zR{ZFKanbMg^1C{41LtM%b(R&?oVz~3-jdv@${fiduc*9rrC5##?Xy5`1>%@tCy1V$ zjk?{im?p;LIvb)MFu~|C=58Oqi-V$$$VB>N?0W1sb`+Lz&%1u?8>c;#^j*Q25hguC z6iT3274Ok7=9>TBGr#b$aV2Z7@v9afVCwsa(i6EOVA;^QuZQ(8nL=Irk64D5`viSxRmt zaX>^$Z_54y35_4j79@XY@X$5>%BJzoMeo@1FDh&xpLtRi(wEAlZ3JrNHIKg7kEO(_ z2tBB=RW>{gM81Lu2-H8exR$u(hnkBgMqCC<%KymKJst=3gdsfa0tJ{*9|STvpEA!q z;SmreoRm%vYShWs8kFQn?O3}ut4Kn{ZNiEva#=T>-W@HdO4MK}Css9(%D@c?jt3FL zx5JVfyPtVwZI)$VF-!fZ5dMhDeSqRsUDZXlchD~OR=O4Ohp~_povfbXlcIWv&`S;%Vm&Etz@BS}- zhz9$`fA9nU()twR-}d{yLHNH~Rf)pNp8C;W`hmYFzJKuDJ3P3!GoJfN z8$)^jzj@2wkpH=V_`M&My;}Ws!^X5{?rxKK42$Vk6^13 zuh()n_(a|Cwfxsv^W8V1#_5!gQ~wIt{ptBv{J0)E6aQNhey2Ini4f|zg`N9q#neiY z6L|RA#s26^f7r$%66W6Kv(>ZDI`>sywYv7sop-)dHi&1RyY$?1>Ot@BK6bxk2%k>d zP2WQ7Ub}PWv>x_D51w}~RfDRkOoN+z5wU{?H@PpKtya{(6vM-o%fhD?-@uFv3 zf(%(1E7^E|Mi=3CgJ^`LhdE{VyBw4( zC~?!0&v)?x6_Nz=Yl-68h4YP0S!z_i&8+t2e+ir>KvAe+Y zwGF+^WRgPx75q@em*|Jn zX`D{$#a=8LI{vU1VHj?6^k(@njxG9rFXnZM0SSCeK~aUNk~u97>5lipe2GTOJ@5sO zq*$!!(?cFb#dN%^so$cDFOmsUH^GJ7aOBHsi(?~U-z1Edy)K9d7)8Ue> z4v3-PndVeDEu9%X*@8VN_sB@5yALL-n#(ERaAN7 zb#LF!bcccIhJ*x2GPgBq^e?*;pV4i|z2On`4-#BPS(Gn{ixCll2x!oR)O31CkB{9R z-?%>RxT1WK55kHrt_ZgoAovV?x{I%sWMKtCmx&ObA^rVM)veq2_IoBX!AG5$uE#m2 zPMtbc_fd~qg?F+M$}MF$MI-5qVBH5aKo#JU!Z1|@cM{}-XQh$Gh32F^L8l7xe5#Wg zM@Di~tdOGsj*xB?43{K~IU(Kh)i+b8te`v?J7vYt;nef3#T8!p&FPe~Jx3?HO#PSY zUiP7OCI9txo#>2p;^;(Q^Xr6CVd<_QMBPgP{)c9*{Y^XiyRJl6w*yBfI^|@G?CPCD zhyWc=ZA=N^^$(W-fbI`A1;nGD`YQxB{=}VwB3O$?eB|1AHsgjXs2I!!23Hoh;Zln3 zAQ`ebBE-WuU5^ov;(@Sz48h}SmNcaqN0f`A2?gXExMXSV2Cp)$874!qVGAQjK%p>J z0+N2@l3uNKBMS94!m^4~QcHSSRB350upS(gzi9b3d;qgt1gGGV zX~;FgpsEb%({|Q1h=jvtEvU3Th5&q6CIjRXyN6!^zh*%6P^8Kir3>EhM+Mc6)m_Lg zOcV+&A{67ZLXr&Fv3NNaqlkl_9r*Cj=%muVO|J_Erz)uk)oiepU}x&@_;3N7tVjGF zeyt#GFu_$T45D`M6O^c9&{%^dG6D~Ln&%_nswaeD2%9=nHep%9gF`<7u{;zKz8eWQ z1U-SVMVTPLA>)$Ge1Z2N-9l#cW44FJW{YVL;wuJS2{0Ce8X*mo79iJDllxSKG>{rV zro&QeQCeTnJ(&eZ`8i&HKts*bWDS;+f{(x^&E%y+y%h^TLPkMp6yOw*eEK=~%+`_V zOhjQX0~0MI68#{|?NImFfMDMNtHUhMK{Cg;@ZN!I*Rn;LDLJ;qij8xMHNr}S{K z0TdgrneBrFT+Q&=I96pG%%q_y{#m89r$z|43mcnt7~%tTkm*;D3gt?kUtF>1XLfO z;k#=iq*1NY^^qF3Frg}Tu|fkZmMt3c^YD{NeM$=Oh1 zVbKi0A~yI&9MzD(Dq2(n;{-mZ3WENe3I3WZ&}|$imvbFa?x3LHTaynCAgcMp&@fu4~mA*@6i`3WOOA zV)=b}@j^bpc2-}tSf+aK`4iByJD}aH2JSdB*lYRiD_f8asd#cJKG zYPC1ipqZxaWXupPBfn-}<4@GLpr#p~f4=oBS$OW(hn7o*H(&a#9TfW5LnSc-Dd%$< z6F>Np2OsR~qtm+b7vQ8P$N*F=>*=dx#{d4g&wQ>iRU>BIIwVHw-d}$B97%n}vUi?N zp{MQFEmHjPwV)Eac=6%~`e^SnXn^^oKm(Gc8ldu(loq~Ry9;8CUxlQPFK_#jr;0Ca z#V%CIP1rBHZ?VVLve)@k+_kG{Z7+P*!@kfWm-cAho($6}8^;(oS2yNgzb&wSj565> z=bchW1J`q%Fz&F|Piii&UPAZrX1m`pMjGTdwOJM2bq1Y_(ME%&J9V|lI=o{S!O-ev z1-yL32_ZD*jaM~1Ij!K;gV2WrBrv5S_#CSlcd8+SO!yv>%eFQoh!*h|q7A`p) zYZ1B4&G=J?*lhf2z>ztx^$if5HjttxE0IhU1+qkQkTz2E8dou7rw6@->X02G`c9D5)QeZxy2!3TpBog4!OD(NnnmjZX#zr-iLqSw-`q{|A4zNCa%4|yzhlhe- zH7nfd04}80OXA3%N0Ct7#$Ny_Xhc!^w2SnKT<3_}0av#kuQ8HP`A2V;1kyD))G5MM zU?9-CB@nd}6a|AXTse@)=v9_7l7Dz1_AMo)=+F#x(za}1Ji;cRC@lb&S6h-$0OdDU zHP}OtV$nq?5lVFxsN+I^_;*a)D{~H|alwH+1Sf?|4nzQk3LJFbpdys9=Fezd3yn%q zXTcMFE?S03e<65bNCY4jhCt~Ph14+YEc^P7pplby(_3)A;4aT#Bq84ZLZ*%Z9_J7_ zDpb}sEv%hzvXyk%hTg$x zkYcd(NFs^z)6%0EKDtHi9Tke}BEai-+%#vk-n$^0DXM5>GLocKv1d4oii65c`RTc4PYHq1C6NRf{dmW;YN%= z%S+Km*h4~jSqcI<@iY!qGL{zj1yKhUbMR*}G3qEuyC~5RLm!(0O`sLN39Cdw(u$Bc z#Mmy1NPo@Yick!C_bN_)dQCLeKKx36(23Mk{HF${@NMhtJ+0p{^&bVd^G&->P1gS!A`~Hcde7&!HI#}f! zP}j;kX!4!254qzA+l!_N!gR}Nf*%N3Yav2~-?u9IS|(12!YW|tt6ujSR^-7|;u$PE_VIrva>dJ!ALIn*$A$ zUW`3UgE7!deAm8h-!`n^W!F}(ZP`X@DJL5mANTPMEi|}y&YUyn?7u~&ryZZGSkp_Z z&V6VwIGE30%Vt7@1nOfVk6M5ctU1?(8VF7B39iy;ru^be3D$D|WG&z|(L7iX!Ngm) ze7{A>G2BqKc9ch`?L;vLH4NQb^~w&a9)IzfQ6K4fg7Wi}ug+FmKwCgtKwIFC)&h8U z;m@aX;?>G|J~cqz&7O}KMEHw`X{Z(&jiR3hZGn~+=+q6hTc&)z2+x0$cBWGFPCda^ zbi%iyO+}B!G&7$=W1fGx7#ba!UP#8oO{%TX)0eg|__Kk?{CQVp5+g)iasC-Hb-MTe zi4}PYqdZ&|QMDR1*qExr&!8cK%QZL?EPNj}lqxfrwHB={pe-Jd2D_>F%+;y6Xh}q6=#u35UVqIbc@jL|i z^N?pW%b3BUgsFr}T_=k4c{nAC#9PQvYpz?6JD}sK)!Sq9L~-8cJd?prfB&(Y+$Ygb z!WK|iaen82e8Vp=Z>DLrsiW=yV2j?ub_ z(8vM(NW-aHszpizRS@|&pnVH!X3B0#(3Z!vnN2Ox4Y;regZQge$<&`qZ8HvurjNsZ zGuY;OMxk8;;~ZHFC$0jav8X&D{NTHT78OaH2LK#xOB|qBC&Q6(wOy5H0W;DJn1e&# zG9!Ln{?i_9qdc_&Y*aYYDzvXa-n1c1pBdWc&giR4F7L|Im*=D;`7Bd%#3{*>N;V1R zq2N1(c1b4vB}6-6lcsIJhvu|vz@!sSTY?Q6Xx{6G76MrT6&0IbgZfwG>takFgtjs@mp}=)lkeAfUhaoXqpEC{6G>1Y3pS; zla(14fGf>wltAzQ0m!#?@fwqGMEUFAkGW!P?yGCL2y#JO*$N|16q7iamcoiEdmo6~ zDH|OUnJc$A(Q7<1U2tnqk&rHVch_xq?QCnF60u4 z14rB+qKHUXh>FC!3(L;QIjCykPMV3c~gFNV?Wy`iDucWJV z0a8WdK3PIs2|R-iB<(~3a9U21$6FlnhCrp@1`p$rfYec?wIdV+Iew;xk~>0zh(je= zI>{O>wZzogvx*DfRx#E7W8au7V%!hg0vQlRx0ARc$8aTJV}clGyf%Z~^&%XC&Iv)G zW+P#73B*Zt?Qs#nJp{YSQJCx?@{SBBNS4m-NG4r&Y45=9!56Gz%Y1Aej;+;4as|q{ zV!#&gn?bYEfsgKG*g6o~?s48MSqq%xMX@dHXopYCD~ZwwPJLirE(wKfNe(S4ZzPJ=GZ778su!p^(Uc0&_djDc0?QpQltkP6|NiLV8V~s z6O}Z!T)+|bTZR*6k_|`iNg%fYb=BC0sC%kKg=&*3AW>`cQ3fB0Dy70GrSu&*%<5Xm zP|!CY8WKDI<%%NSRYRnVB+c`-M zP=D3FWPT2;O&V~u37gnuP|>UZT%fo?#3Nqhy^(m z^hwDUKosI>2kohpz8%O{$kvaI;8EE|1ik9nthEGs>9e_b^=m3c?odp&4naj|vtk^d z%8HbO0HRP&S^fp#GO(OkGKR0YL8a|?6>pK=3^_LFks=~)PK2p1kU|~juz!(ELwmT< zvDL1Ki@t{Wjwv{TCw{R1oZSD6_=z_uT*^YGCHc*uz6r&791(>p19;!9fxNku zY6!Aa9r!`>^#r~#uVjrM7Hk7q*1DE`k&fDmfu9#%BN8u&pn%3@xRoo&UX2=mp(;Qw zRZx@8oiET3PYt65;2D78+cAb3GeUNf42qwuFA_s^Y6(KDE#8!c(P`?GfLZ~jvWSaX zp-tALE&^@xZW5=bEFMUJ3~ZGKLRS!LFg_(w;@T#sN{9mKN7sd~+DA(TNXwkyCKmcp zT8SYv=u#L(8o&hx96r@FQkQTUQar*(S4N7igQiP*qnwdMorAGCtW1=%u z2x{#WC_;x#L1V|S`0!cAhtlw~r6zRnt8>5vJtn~=3E&7M7}-n{36Km4Vp};E^yoQW z#X^E-iZ0_E+nLF8yc+3r>QjUsmoQ8cz!6BmnO9BdzL1bY4i4xpGhI^Jb&<}^xW!5t z@$e)t$7Pv1I>l>rlAt(70tqn=h*$J2F?vOwHAWR0mCc67Yq<; z^bw*b=$x+zy~cqQSvFe7L87A)5}=Ed#BjW$%)voD;zv*^;2bXKq~bmyom#vpy)6e7 zEhb@$*_Z>TbGuC9grAO`;{~hIeN&z5)j-DyZUk*OVE2HYAWpa9`LvRB}C6tiCro`ot&H@QFIV9IsAvY#l!hCxuP6{&4}+KwRiW7Q@x3@nuzNjYZwTL+bE@#^)MpB!AUBfl!sJAY?a|Ixj z$>KRnZ(_hpH02 zElG=or`XAMEa@Icr>U0pe8br9A)4T=WqkMI6(|DRSvW}bHPb*aMeLGOR59c z$cRnoPE7>INyuTbsP6_yk@Al0@3BJC$`$a!gN?1RN)9JES+&INLaLm~Z^`Slq=W<> zglGjfT0)4A22j!((2!OctaKV-{B(jEj|gE#6+4a>LaWkwP%LAL3jw9ZD>HVphG^_+ zT(&c%RKi9B$xvN2f)hb0lM0_l2+f1M35#pm88V*BbJ&YFk#$o}0`H2LD9UxTsmulM zn<9{t(Rvp>67=tA+zNLc9;4E#2iyXaXb0(>1Cu0ifLw;BoGju^5NQe1xFe#{t)N{JjxJ8u-$voNuiqLKpMwHTMCDb zB^k%cluXDLrmb%rK@%h`+JcF5;M^#Wio0kYcob%FVPw!A5*2?eF@`<}PmGRrl!`1f z_>P$_MQTSa8bVMtzTtrjHV#0>ac~&QB02v1ScPn05RU`v=wxh%m)NQ1gl*Vju`9KP0FC%}^$B-PXN3gmJnQX{M6q z2jJtToMxv9{yui9xJ@caGvpt8Y!&l@6BE6?=Lj414Oa8Bd&&Cpe}=M+h&}e$ZEVRS zPdy6_M7!u4WYAzX!qch2vSqJ&RV33`usT@nD-;UV)vGrxnca8%a$Mkj``CWW_D!z_ z#~&ZdHX0dZeZKEkXV2cWgeCLGA?LnccHN{k5Oa&ni%Lcqj|A$y%ZEXeyI&o9T@+2! zrswr^0os1#)jcM)_PC0nQ7XfVVJK2)z_dX$lkBzPFM>e7a`1!4gCD#pK{WrcD7Km^ zCJY_SLR^v)uBa$sERmxPmww#=EzTrap)?UwX&nj(HEarn;Dn(_z?NYSPfC;Vrmmx~ zMX5egP&Ii99g|=StWm>-O|um6+jtwGiBOp@@prED99hYrJ1X(pp|O=EAT9(Op-ghr z3lTC9WD+fHG+f8sB#P`szVJzv09wf~EL*YE`6+sEOa>h0fIXO)PWf0^Xj)dZ#8MbB z2?#CDM8ZIAMU7Tm4c9S*a&na4k&L!Yi!7s1vx6_tk@-Kt=nxh+Eb@yK7LZC%Yfj}b z=V&whMO0TTao?w?O%95c!|S%p*AWP6A0Y$^)k&W<^$4b+w(bEb%I9CroqaQiK z4_rcpK*NNJ93l@ap!(t&&N)eP191r~u@#6Q9(tV6O(`KuJkW`^BwL+nq?`;@1Muf|JuE65CB>EATLrqiSbKd3zFoZfd> z-;;y?K3J_j{@mW`9c?tI-ZyOupj+YXro!~Crt_0l4^|UZcKYcEzjZJ;+j^Rc+ENTO zxRHq0V@dB`T4elKwfg9z2tT>^xt57o>Ich$6VO}pbWa(OtQiMB+P=6&(KQg@B{k|& zANmX3H}E8zok~ve)g_v3JiLmna^jeS9qU_t`s#z7=U+g6^^c%V@y3T%rxf+{12L+6 za4QM%n8J4S>yyd1gpSGDGL+j=r$CHbEgmCrvS(QnT;rfOefo<-eLPOZb6EEGBj^q( z7;{2q`3zTfL@Z@1TGqm=jMwZbuQ(cgQ*a)z^C?+mtb# zMLQ95HOr>!2BfSEA%!M_e1Y@eq7B&5I+S5Y(5KhwT>5VFD-mO@er<~S*=Q*U69Lgu zn&;mpRYbr+3fjzWpHscIo@A#M?@+LwPB;R(N>W)hw=a1;LD7!3fVP0PfVP0Pz%*Du zzIUj8sEJ{!5~R|P#&_@t#@8tn6T_I~D{w?0`iz#(vZQ#>Q&`3oe+OR);t@X1=&vP6 zrIqT<*xJ#W@ppscU=nHx%b|=SlOk~RYXXrsyC&30po#&nL!)T6Luvq!y-hxiywVn` z%IdPOKx<@uPIQ`yYXhRLQQwAzn!t!U)rY|4WFkY(>QP}R2Vhe<1RU{-;(&F`bbu!y zy80H3{9pyGppl*}Ko}KeG7U}~!WFopOaP4x8H%|QM=K6YE?ygBR26AkcFAP2gp+Wn zq0@*xiKTC6OuUX)gkM3S3Y;+;ltRf*FkXNiU|y@l7$ zbcoapIKJp8fE5c4LALQTJy-xqXcGtSnUn~%PZ%M^fe%(%W+CDXW8gI9L4S2%ON~Uq zEVqsrjdBq|EurkNT1BjPMJCL{&tx9@fWB-?seVm_t7>(Kolp+_B z5Qp!>iGUpm$Ab={LR+5V2J%#z3oZ_qenAOAhe{N$0dTw)s|%TR@n%uc?wR2b4Z=_w zlt07L1z`h}G3TWUiGs{FS;%3sHf|I-%8-=MErubmq>+7+jSzI$unP2~ zYq{(or4`L7!Ev+Bx?8yD7Q=0XjIuZdie+kv719bz9ev{VVepLUWKu?oVaYGd4Rp#i z9LGa@EFkRQ5;Sd#CdqumhP{xeR_|zBhGC510bxWc7d#T6E`aPTz=sPy=93DHzK{i^ z(xYkLLBJ4LW|U02XbK7Dl1Lj(1ve%LF-IJ66HPG3_JIk&FrX%L@X}E>N&99HY5=n* z9XBmDHX=rjg~>@iHd^Gg_?QaGoFqP7%T-KRUep%8f5bh=#2qM`9>%g%R1SSVL77Uh zvMETkI8_ZM&{zWyihdFb!wy8$meg{AhGN;VY!2&Q85?u5b^@x56l`!NQhY@4WSx;5 zLt3n$MNSckF4Y{A0xktY15o=3FGf&(YXq(l8cWk4Y%}zu-IL0IV_tKdn54SIy_K0}T1&c~BVhk}FqW z51oMle`lhWhYT%WzI-5G%}>0kgXM*_S#{l2>#m|%P;4tw{ULy{s{urv=)>Pc zAGyL8MHm?9UGrasIjPi~IrT;A-MN!CRB71H4>Ew8V9Sfg0UW9k%wJgEBk27{Z!#=qmj%H&p+^;h9{C03-=m6D!u5R1= z+}=GgHrQZ%eD`?u-%bL$TD7lXRcC)6TOO8Y@U}s5bC{S2w$X`fg;cF>+C*zMV-nj$ znrUF}|M0)<9^bvYy5B&```!vW?DzNevDM*TJ%i(e)cCm)yf31`;NYfBv~E?=I{9?P z4FaNk{=h!5hJEiIvdt!UlRHuEL&;Xp#^zC2f6CbAyoarXnMc#b(UcU%+TrSWb>F^v ze5-in2hO8!z3|9@uI@D; zc?5JHX1KWvU&RSW710s=jvU=Hpb@tQTp7%C;DjJwxx*-~p+7c&RVNLq8d@i$*U%_X zE_Bt`<0UrASs%^@2p%KQE@3V(>enF0BueB;6tM$ynp+CCNK1QoBp!WMpL`18PKO_g zjen_5=Q|&zYz_`@fp3oP7VC7&2)@a;USCNULl}*lXOfFs;`N75v=h&!7vf+q7MO1SD)6XC6y z%Mm>+WJcp-1THWD(eHE-fHIQMtN?({z&Py>cN;y4}dNx?yV0Q`ZhGHkwY*sDbF{cdJ3S ze9cveW4*$bv4n*&UWPV_zt%us!Fu>nJp_X-t(n_UhH8E9fXm#)*ornOW}2klx7)9f z6I5Ty z(Q63_2N>{ndpAx@I8`>0e!9J=!vb=(n*C0D&JI+pcl8gA@K7JQhx#Q05oGhA;!u8b zu4dSBfK+}x&!*!x;j6?M1YG2t#4A6R)nfyXEZOwjrZ#JoW9(Cjn3Tu~-bmrsLE)Bd z|5o33u49?u=#odaJzU>+p5ZM2XvBB@fN%3QQo^%DLi6W|9nz;(>`XkFBOY6hK#I0S zAugiIaa&z%ieVaj+&1VXM!d)%Ot%qC`d}s`DK0dpP9>st56ul(OD`VXb}bH*U=l&3 zf=R?!AQW;!4O_o%HP1;*BuB(|40;$v0+WrO0_@NO5PhCvkiYU3i|~cvklKr3+eK7^ zP<|m`&f(=&N^6(8k>)g_nqh=dYL-OEM1k#k)@Bx!v(bVR$=v!R-N#k-DqoJ5d0tDN zf;tv#PW@DQ4HT1->O`4p;i-hw#U<)jbS6?jr4q#iOBUV0AaoT#34rWs$1)P7kjZ0s z5%I%|x{)R@$_9!|(@Pv#afL8sfa3IP3Aun$FHqrICR7BQ2iH9&J8d!o=5{>n$%TNp zAhXOep^Swqksg8as)BDLO226UTRd0`2_F(+2SAY=%Oe;1U{+6}WqkVGijSh8=s2L# z!R}ikcgkhhu`S0dSfxs7w4B9Ev5#*g9kS7M112~*$)pM1(I8B5%#L%$IQB;6<;)06 zrx8SyNHO3tR%->5HW(yiNwmchW5u!I3IvKTWQ)vA43A=7mS<91Mk`~uGHp_#9)cEc zg!o!0M70k2X` zeQc$To#^mgbO6{T$SEgNW2KUT$MQ(UwwcY$qOa)F=gZoK>tKv7OPi2M;D~dNdn}zT z^YlmsSdM@EIzW>Bat*o$i2x`BZeD`EBf^L zv!0kWi(RF59Sap;+b0g~JOBJoeQMphzP{JIhGCu`_0U3t*z>A>{Gg+%;T$?f8vMBt z_O zYHE=~>4~s0*ojPu;M_aywBFvf8YtfB@gJ(riwc@>CieFY_T>kbzy9@6{7i=9BY6`S zPyAtW8i*=sqo5tq#FTat{E==EQ9}+c8&?T47a8i|bNmF9>>wb#SR_j57?v4u@Y#wt zMKSsbP!j?w9=y#IC$rA=!1t@7eIZEmPlS2+U5GiX~y^p&R zUK**7O138*QsP~%zMeps98d=ItAP#awv77lNIm?V8kgk+#u}Df(K-n*lk%vX1ROsH zKLL@KJlPs@Vj7PVQ4~LgwZjrDY%ZF?tXO+++LHyqUKBH#Fgl8~ZxxyuPKeE* ze}=x&7%kZLVH(`)APX&x$SHIg4Qx6bN^kmQM@a2Dfc_8%Gk)ocpE0rY8MPu%3BnV& z4jZvqI#uBX>?NF&NW!z6Z84kTCOK0E?&5%3j*$vn);MG(jYZreN#8}?a9S?_?SRQv zxXZ1aIa;Burfa}ae=ee3Hu+k@FoZhC%J;NihL7#dam)?Fv}^%gv@r*p=Vfs7vmA>V zM#W2|JbVgNF~YPqLYPojEhWTHHsIhaEVJY@=46bKGP;KdqSi+Z@+}GnnK&5W8BG`! zff9~V#zstqYt*hoFt+%|H%3`+G?}h&+Cn-vTI(IBvsM!KC62!1h@VDFRiJ7g6!FMX z_{sU`hmVSehZcawh%>*ukwSJ0>H~T)`gb!4Zev4hG{mypOHJmGNtN-^gocX^$0wI( zoZH99jpNg!u40r~F_SQ|*`%=r7o&rN$@~o3Knc$v5A;$2J{n92;TJ9;X0id*2Z!&9 zw4!&8YkwEk(&wbKHRSCg2O#J&2v?p-EAR(0tB)n`+h#vAK)pb$9rpK)S0`SAwZVY1 z&p!KV@)hI(tDYIGsyLm7IxP@tKzl{|`>+K5XHtXn&p-cv$8x~wt*f4?&4y2x26=2) zRqb273d?S*`M%}Lt9^4GnezzcEEcV=mIbAC>3!9{{Jr|5%^F|_=df?Qa~y}=20)DvS0OA>?VE^vud->$ z>eY&8ntbe9t$OF<<2yqQ1_$XrfNLX<%Omo=%9J(0$7)VQW!V$NlPy8WjG)-U4IJ^F zWQCYALN-0p0X{jbh#%5%3O4~t&=!QKuz~YNUMSZYc%V~f&UPG>6@H|WQ{w}M*J7cn z5!4ies_4=eLdeo4h3M27)o)MXP$Zj>05UgGsmEM|%PdTF;13CH`A#bsx^kRmTx0_Q zCi3(|h|Z#=Jd?3^LEuE?2Bknc=fK_&L|$-g*%0Z(CnM!bEEd-*YcZ*nSbmBj9dXkX zG`sonV!}3%A|>UdywH{X{xB*71`mAcmB=$luLyYPIgr6E^s8_cr3~ayV1BxgMd$!P zzGUIUL0TisEc^gAIpQc7_|!&-Muk>YZb1VZ6_6;=SM`z44vDubu)$^H58pV74Di7@ z_9#H_z%(&(D?b~t)8LYwbjcG)#`O<{=o?BbI>#|SqB;Uvo!5pWIl0FeACX~?*#@&X z;}Abq%OxlzZ|mZr(IA*;4l~A>o3)|M6v1}K%#2u9!z+b!0exrA zIb-;zu88muf|d-DIJV48LP;;Om4abL@z~aZ%&5?~$xOT9H%m!$J>y7R_4< z;Fuz(FOo6skxMytt|em!LMr34VhR<2x-^Y!v4YRLaXTCFu^}_9TQ10IXdB`OWOho3Sf-Y*(Wi)$G zsf<|Gs91|6hjA!R-bR?qJz~JVCfPBzWy%^PX7sZMKp1e>WhG}1nb?g4|(ce7U zo~H|HA89Z!ux1VVMR~Ta57~wqytrNZd|$QN^AG(;w&Ur7hAWXk_1@uQo(Z>RnmfOW z8A1I#KOL1Jqo8Rcx+4Dx*_)le;{z zT+C@BTQl`xt33FhDcvd4^G|i79H}-9KdwLS2IwF*8I^ zz{-^2qN$c@&nTOj%;gM?6?FUvN@$SN1)KztxQIwRvGCqZ1OkpfKdz|23gYwSIFrhl z`rER6jV_f{((7p|4cSKhDLbVSv|~=hX5HySw;?)rMbs}BX>wso3SolLP?iUqtas5> zVutGoj7>*Dh9nW1ghOpeRHlP~jgA3bd{NG2Z0PO`*5{v2w#A;z|DuH z0fA{IlQ=tq9dH;J1Hzmq0i6vS1)kx?7J~y-V$3leR;2k}R- z1{Pl3Wh={+q)*%>^cP4%(#KU|&_-IUghdYy>YzYF9XVzOyUr0eNt5}(4fa+byn-4X z7|_v<>-sx24k5v&_XQ8kQYy1*bdoTPB9Q%*<$&uBu=?D_PH*U^l)M5fk1_08mR>NY7bM@Fnd8OO`u%rC=1_Fl!ayZ&rSNrCR1bJQXmMW4Osat9PH z{z4Xx!5z#xf{W%JYrwPR1mcMT>MQ9|hXI?IMHyUAa;gRl`SM-L%88l zTEq-xi=j*#jj`ZW&}B1|DK}9{T1B_$SDN)~!!*qTxSp`bz_5?Aim@OaJ!Tpa^jX0R z+8bkz&&D$4#0axQYadopEF6{SWy-?^&n=IXDl&oL7>Yg#AN4-Mx$ll_Ns5K2o$s`4)NYOE-`-GRns| zMi+(nM=}*h?&XLJl3KQc(URwtGh<|3 zyY_HGin3>$W;vBidquBkWyXrhlA9?I-=rbvldC>B(%1FUL1F9^#&$(TL&*Sd5`H!l z>)KaES-J|->T{Z1%%e;2&_j=id0xzB&0Zd!W$JmWS0Ba>8z*sz@vjFQj9q(eU)8*= zTD|}Nr@xzC0|WIu70wKHm9=8RJT}cPVy`!7uw+Sqo}CXhu1+sWi=ennk2TX(tmV4Lq-<2AFLpY3?S2=J`YT^fs7_D-E_WYMCK%k^zi@ z3_kNrGYv@4f(7=1dV#l8aU+9uRA~E>#nco>o$(jn!(w#TWMh1lvf-` z1Aa4U(A%4t8)zWTIF9qxVi=yr!zBu(XoTZ6kI3PRXp~4{12<(%KLu#Ku*TbKJ$sWl zJ=>#s)(r`zIOr`v5a^Lp_i$>#evr|VwnRifN-NXLx9TEdbOR(`VkAe+mHd*butmI7 zQBPYMP|AyBQVYU{DZF9O`tKSS*52VSSltQ^dgCWP^#!v_FQ20EP!*sRi1pA?J3`RQ zE42rj8K)Nmj=Ne#5*l6Jh>Bt;xyU6%ipPPBks{PUO=<`tgqTA#Y`9AvOTYr$OF0}j zREkHz77=R2xc?#?5iBUp9lFgaK&F&23YrNf(rE6X32@kem+J|k#cA%E*HdM^He#cg zPy(fQh~kqIT(+Ky0YR<7jHoW3FDkvf%Ei~qsMj1>!cO_J&Jo5I!f;Y0i^D^|P#V|9 zcH^R!i5N%-1iHj#Q-rF4+7Hna_&SuspDYwn4u?}JQ?!LLi5FQAE(WsWC{fsUxoC?X zJ;g~8fQ{+;I7pSFtrr+y#!2fa9k(bEC1K4tYU_h>1!3(2K~B_rj-~8eiP^LlG~HKc z8a$DK&r&ioo7r{cuHeG0;HBBeSeKvnsj2urDjsq1GT($=l5}xRLwpmCK!Vu+q8VLD z7`9M>6zB81tKc%mIR;=|E5X~+O`^Bupk1&Va~KJ8$U=h4uv0q<;ku(P zb1m1bBoN0ca0bn=X?i_96Q{$TjU3qb8WP};1VrLFSQRHmhmHnmz^D(Iz~}K5^f@bA zikL0|Ufhpr^kJf#L`TyL=}`&E8i!ZoXeuG3JE%we2r665E%d|)?zq{lf5^%9(bE+jVOu*HS870x&= zYXsdwB~d}$VZQ)GfIttp5Ty?{p~oeZ>Nqf%SElk{U`OOI8mC)~XH5rn?SPJ}4_{jt z6{q6)4$`1?Fx-Yyo#-%fL_)ewg6Csnb}W-{#I36#2Lp7U@qKX)6Gtcd+TYNPQ^3+)K_KjI`tQ236P>Y69G&PvrY$|( zpxT4kjEoB@1fwKwN?}|Ccsx4&G2F>Q$l!Lz!&ndyS0{zVy|A7--En(b1=ijJrP#16 zVJ?b8QD_A(0qR0PlumCd9jiG=*V$7!MmY(W%1RYnJWi3L&jSWG$`}iaR546EBI#Zn ziEc$r1H}>W6d4+8?UmI=7nC*DXW*Ix^m89*gEjnvTs_81V6lVH-E<|x*1#)X3b*sh0r&0}dz@d_m zP^KCq*&Q>Iq3`Agb3?`W94B41=SIdiZ= z&i=vdyO7DyDYMWAvJrx1df)+e33o;v9AI=6K7bYTCpa~SE%(2=Zbma~5MHHIrGg0@ z@(3hz6#wq>1Y1L2BVT>>X{S+%d$2S25^VYJY zPmh2#?0Ek)@oqC9%1}KhwkA8Wh3U_zr9>MP zyucEju28fK5vKS-0gE;(!h!;Wi|p{K3Ptd94odEn31>FFpeAj(nqRa44wn=0V1a{f z0u3KJ__OhcE1`U_@|O9CawAB6=Na!mH!E5O>*Wn>mQyMbm3s3A8pgo{p3UVjxR~Ia zawXTLz(D|x)<%C=y2-|JTSRXSaeff84ziHLxMfUPrP-Jv&YHq)(LczIP%2}X#;{Sr zl~Br{cMlx3P1Qan%M812A?amDsY*}>9L*5xW)cUdF^G@AQyhzjX$>#Khz5sya4!Tr z2@D^3%CUZ|xt?KjH;AwG+E)o0#fL;MUd9^|%ot+gY$GgvW&ILPK=SRtR^Hi#a?L4|y;(f~*5%5|&VB&{^hx>G0=QtGYzm0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F z0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F z0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?!F0@?z9 zmKI3Z#wXcl*ejgA?bc1k8g?#U;Pm{;d@1|1zvS*inE40xJ@)D~hb>Nghdt}K6WLR& zqH!#L6Q6Tl@-$<$|F+D$kIp*M_&9r)cl7pIzcy}nE*)6-)1I#xPdI$L{Z#5=a|!>L zvv_`bnRzz9>h721XB*~6{jaWi+1qD-&%DL|m%ESMc*v+Z;orJu!0SCb@j~{4U9a>H zeL-T7{cO!^b{+a~Vk>*#?$_-*?1scm{+?CGE$F*E@v#5g-A+E^S__6|J13l#O<8Xm zI&%BTmu7cb+{pIuW$zQscPpo$4o3nZ=S32)FYyOT|#~UB_-g&`M zADwlP`^Aa#_|a#~@`k=X@SaZ|bL6ahD*wta{K_%E=rJqf{`wmie6i=1mAl!cw=aB0 z&$*RfIUo4xq8IgiYUrVhKe%hrZ+q@^ANMx>uK(JeCrx%Yf6Bfv^^)5T#laA{rKz)4 z9A$4!9x0&y~`11>1^4j#zZ@c{BFD-b<6SLEW;Wb~Lv*eqzU$V+ZP6|Nxke9vp>7yf3vT%mpwXr$89(6`ah5Vf7i}_()71)f9U1!KIFyb z4=?`4&yIcZA%iP^=6vg}V|O1?H1D7I_Dz5Fg+sn!KI+~4mA^jgklnXEyXw~Rz~Q}_ z6MEQf8wP&Vd;Iv}%>Jtrzqzdhlt-hX(n{*OcX_}^!KzTq_=J@mR0F1+|xt6u9K z`pfal{reWb_Q}K2iQ&xdr?EZzT+S1yJO4a_H#Eazp8J~2~~q#?5xZzxIMi)vBcS%ImMb&N&d?Ha^{i~ z`-f5=UGb*O7f*bddq{r8Gnwz5_^LgJK6Jv>na8c=W0_B$czSkG@r~{g`4gYco^tz{ zyXWpY>C4$mtkrAh^ON70{et!G-N)D`{~^1>x^(Trn^#_yow)sqihb58%MU;L#F5+! zCQkY9!%rxFV*QJXZ}{-x7u^1Z%91&6{Hw!1b^A5O%f9l)dk(*)_%BP39r*t*J^aBF zZ$9I%Z#wnJBl>Q?bNwswr`~kLGVAW4SNW%%b;KI$7elXgPJ8NzlJ&sQ>u!G2XO6i3 z_WxO%Uv~PdkGS{5r`+SWpZ=30_79s`ck!x==e+2SLw29=$uoNAoO;LH(UaL3Uz>Bu zaKC%X1#foed@i|U_Zxru=3mb7PkP1r)0X}1rE`8WoHtJY?3ulDdxuXNI^*#(|9S2! zPI}YsGgl41Zth#}ILm#@HG@0nZc45lJ8R!t&Yk<^lg{7$wo~8o)Z85>U79`Tvv2*# z+(+)%Yv-I1@l;~&?bd%@o=Kk}?QKD&P1wSTws$c@8eYtMV= z>~oIvPTF?Hd+f6xIdc4tZ{*&4=G!hm^1g#rcdlCd$xpuXgrm;7@~rHqH@@?hqdqWe^$njrcin4``qY*0azA&mmGD^$?sqKMfR>Q9`!$WZW{g4&(1sI=-!iyyT`6QuXOZFPyT4`pEsVj z@92|fed3I(Pki@hHf{&nKQBaivWoezwDyL92kW3E2=;oaX^aN+J_ zZn<*L(wnzmbmB4hp8Vw6Tk;ou?U;#K&kfyr`^D)6sg;Sb$|)D0zrfy_9=q+Xi@&#E z*~-4r+nx1G7M#9g?)p1!T0gwtT|LLRJ6^v2zZP84WAC}^v+p}@!6$dTc_sYdceDU*`hbE{M6`wf93KGi{8<5)zELe%l~!J`W;_b``~9U z-@9n2XKd+1r4KG$RPOo8p5Jcx;024mxZ|3!hu3`YYm5HR%4?TCa^eT?TlAfk*Wd8F z#UGlt=zA-_vGn)sLvLF2A3MG^^!uNEXv?ApdcJ+n|6KE-e_gbv=eyZQFa6NNi=Nxz zFP+G5T+lzeXWZSxHlEslBpw}m{EZv?b34Ab^bZ?0ZtFjG7DRT=B{NukLtw=`+_{aYO%gJ&){pcK#JV>i=fX?{9dvc*R5g-`V=; z8PEQ1v(bNB&&2M1t2Q6qe^<{R&e(VD=B52V+WJ`KIcxLE{-5;h%|3V5=C}3#tmla{ zp1WrAMg70p@#N5R6Pt(ncdvYEbpNu=AMgL~txu2b->`YC|FWQ0w+P`YtTR>YtTR>YtTj1bWK#wEoaU?yCq{or;IFcSm(&I>a97&HO9h~gft!@7JgA6jySUoO*S`xWiMd z5XQoQO0WD*`AwFt^y=id${*=|NcL0dS)F}VIz_LK*Yh7}T;AG(R&u+=Pd2|uea6~BxB1nlpKSh<`u)ytc#KAJx;WtZ)~!QNp_ zbQh>kUmvfpk4|*eewAOJex~CpU**FJeZ?`GP3~{t12ttY={l>~a{J7ue+Trn&~mFJ5<92RdV&IojpG*z<0ZDm(P8 yseu<52hk>-Z1zWBlf@@zaOKR#FQKlwAf6o9&8hs`MC_E5#q%>RFU;x|(O diff --git a/FPGA/VNA/top.vhd b/FPGA/VNA/top.vhd index a574664..358a35b 100644 --- a/FPGA/VNA/top.vhd +++ b/FPGA/VNA/top.vhd @@ -680,8 +680,8 @@ begin Sampler: Sampling GENERIC MAP(CLK_CYCLES_PRE_DONE => 0, AUTOGAIN_SAMPLES => 16, - AUTOGAIN_MIN => 7000, - AUTOGAIN_MAX => 140000) + AUTOGAIN_MIN => 2000, + AUTOGAIN_MAX => 26000) PORT MAP( CLK => clk160, RESET => sweep_reset, diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp index 6dc5507..3c69436 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp @@ -256,7 +256,7 @@ bool FPGA::InitiateSampleRead(ReadCallback cb) { return false; } callback = cb; - uint8_t cmd[40] = {0xC0, 0x00}; + uint8_t cmd[42] = {0xC0, 0x00}; // Start data read Low(CS); busy_reading = true; diff --git a/Software/VNA_embedded/Application/Manual.cpp b/Software/VNA_embedded/Application/Manual.cpp index 9229457..a7f8bc3 100644 --- a/Software/VNA_embedded/Application/Manual.cpp +++ b/Software/VNA_embedded/Application/Manual.cpp @@ -76,6 +76,8 @@ void Manual::Setup(Protocol::ManualControl m) { // Enable new data and sweep halt interrupt FPGA::EnableInterrupt(FPGA::Interrupt::NewData); + FPGA::SetAutogain(); + active = true; FPGA::StartSweep(); } diff --git a/Software/VNA_embedded/Application/VNA.cpp b/Software/VNA_embedded/Application/VNA.cpp index 204a6ca..dceb5d4 100644 --- a/Software/VNA_embedded/Application/VNA.cpp +++ b/Software/VNA_embedded/Application/VNA.cpp @@ -207,7 +207,7 @@ bool VNA::Setup(Protocol::SweepSettings s) { } FPGA::WriteSweepConfig(i, lowband, Source.GetRegisters(), - LO1.GetRegisters(), attenuator, freq, FPGA::SettlingTime::us20, + LO1.GetRegisters(), attenuator, freq, FPGA::SettlingTime::us540, FPGA::Samples::SPPRegister, needs_halt); last_lowband = lowband; }