From 24314e236104bdb955291d50fb8271e8a64dbec3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Jan=20K=C3=A4berich?= Date: Thu, 2 Jan 2025 19:16:53 +0100 Subject: [PATCH] WIP: rework 2.LO + add dwell time --- Documentation/DeveloperInfo/FPGA_protocol.pdf | Bin 177444 -> 196417 bytes Documentation/DeveloperInfo/FPGA_protocol.tex | 47 ++++++--- FPGA/VNA/MCP33131.vhd | 29 +++++- FPGA/VNA/SPIConfig.vhd | 3 + FPGA/VNA/Sweep.vhd | 18 ++-- FPGA/VNA/Test_SPI.vhd | 4 +- FPGA/VNA/Test_SPICommands.vhd | 97 ++++++++++++------ FPGA/VNA/VNA.gise | 75 +++++++++----- FPGA/VNA/VNA.xise | 18 ++-- FPGA/VNA/top.bin | Bin 341436 -> 341436 bytes FPGA/VNA/top.ucf | 5 +- FPGA/VNA/top.vhd | 6 +- .../LibreVNA/deviceconfigurationdialogv1.ui | 8 +- .../Device/LibreVNA/librevnadriver.cpp | 11 +- .../LibreVNA-GUI/Device/devicedriver.cpp | 2 + .../LibreVNA-GUI/Device/devicedriver.h | 5 + .../PC_Application/LibreVNA-GUI/Util/util.h | 8 ++ .../PC_Application/LibreVNA-GUI/VNA/vna.cpp | 61 +++++++++++ .../PC_Application/LibreVNA-GUI/VNA/vna.h | 9 ++ Software/VNA_embedded/Application/App.cpp | 47 ++++++++- .../Application/Communication/Protocol.hpp | 5 +- .../Application/Drivers/FPGA/FPGA.cpp | 24 ++++- .../Application/Drivers/FPGA/FPGA.hpp | 12 +-- .../Application/Drivers/Si5351C.cpp | 2 +- .../VNA_embedded/Application/Generator.cpp | 5 + .../VNA_embedded/Application/Hardware.cpp | 35 +++++-- .../VNA_embedded/Application/Hardware.hpp | 11 +- Software/VNA_embedded/Application/Manual.cpp | 8 +- .../Application/SpectrumAnalyzer.cpp | 5 +- Software/VNA_embedded/Application/VNA.cpp | 24 +++-- Software/VNA_embedded/Src/main.c | 5 +- Software/VNA_embedded/Src/stm32g4xx_it.c | 24 ++--- Software/VNA_embedded/VNA_embedded.ioc | 60 +++++------ 33 files changed, 483 insertions(+), 190 deletions(-) diff --git a/Documentation/DeveloperInfo/FPGA_protocol.pdf b/Documentation/DeveloperInfo/FPGA_protocol.pdf index ada75697f875ed4612ebc1634b5a199c33b3b0f1..c52110e1442386b428d7f643b2d22be799c2ded4 100644 GIT binary patch delta 132014 zcmYhi1yEc~6D}MixH}|3a9G@(;K8%N;<~^B!QIJ*;1US3xVtP4iv_nJO9XcU!8L*h zxXb(9TmN50ovJ?l^wT}lJu_z-C_TXIzsLW`meC)L&Xugg1jSZWQilsu2lIJCpm+Nt zk(hlsJih}h&A08;8p1{{f6O_GRM~mI&*s1f;81g!t*r3cX?)M+ zPfLmLY-~5-y>Bh0&PWsL2r*eT8np@a9D|wnELwVW%B0_RZ6ZEheL=o7Eqe(?rl{!r zPAfC^$|gy-gT`x)s10cKpVrh)T_5Z$Rya@yDHu?J?3LA9fy^9S`ti%iX6PM<34G(n zODfzSfru-(ia4i^I^VWUcl+Cm_%QOl(yAAdeZUlQRo>i;*`80bhFwIf1monfnJVJD zl4whctM&XsJu6EI$p45t_q!iAK*aA-Nv8AVG$Sris=zQ!n{a~cu^ z{_82&BJPE94dWUrL{Rj0^)OgVD12R%S(OO8mV|1F=PPi9Gu2QK!tT$2p(2JQ_<(~+sieXP^SGPEVnsL9$KIxI!g4S33%RsjU$eOF2z}$F?b-U<&3Vn{ShB$M{qnWIrB_5Q?_>dnV)RE&B(t8kev{A7c?~+jr|-2xFTB zOznb+I$i62j|z!L@8psaB|x8MTLoP#TbR@(3J~U>)D}f~hl|BQuXg=+@IqxyzTfZ- zH7(R>k#$o3jcit=b2b&3%eM*YB(_igr85CuIjhPuOpXwGL5|SZB0#ing%Y&}64f}D zUOZ%6wi%J`(oXXoy!(^fGsQ|uTM+Hh&_>nw%p_WjzW(-00}lH?H_H&+$ROGCG_uL|#CbHnl?G zb32H3X(wsIAygC}VA&(z+irjNNX-Zl2aRH&`&H=AjdOE4Lh?K4?xd5)ig>y{SLVp) z1P(V!$fRwP3Vl7Dq)}wllo%(8^pX~?VQA-BHLR7&M#5H2prScVh|90uVszh-IvH*6 zKjew+3=T?HN=GO2c(v6F?fThY>w8_l?VaxAbTrOEf1iwY-7h~yBYJH`SzcUe+Mz;` zZD-{|>_6f-$J_prVY1ciRng1bIp_G-lJ@^DBA-dia*4#6T_5t?{tj4jKP)CNs9tMQ z%qm-?toN+phCua^ZqfcmR$pek$_Op<7v++{w?(`?Rwih&y94~%VKX4!hp!Cyvgz`k z*)iK6PR?jA*Z~*Ow#Eq^-gz{CX(B%ERKH8xPsAn8;foM$LVs29B$@VN2@ipYFu=_g zn??K;^55VW>q=c@erG)H`nG)`EfPZSCK7NZDyrt`-vULrnoP`u{E+FRr(eVH#-1>J zb4Me#{YR-4QQYa^TD{fC*E+Xg?M7L3-PmNIy>h{$R3MuVe>J52Z1ownal{H)=~)0N zV%*w^%e(O64Vu?WzLqoLZX9*vNCDac5?D=y4Z67o3pyLAdC8_``VX2Z>#?8_p~NY^ zrL3qXj|b>iCM>qQ)%`jn?Gdm`MMKRyz@fgO)A=U!{`}aUbih+(Z`Ea_pJ9vZNW9%L zs4IbnWjjLT&)&PWE(Ag|$fA`KBkd->es=C(Q|GVwU=$fHKnl%_iNkh^#x6l9B~CuO+EUE7wjUZ8&^M4KQ-B%6PU`~L#$o25R3xj7 ziXURuLUghe_SFsisZ=ivz#EK93~SP3;#@qzGzI1h0jBo{`#x)`<9fLPW)fuHkyLuYwe42#|-h$*brI0?bxPK?H_RGQG=-2FRa? z&Y|Uj-T|D8;!2r8b)B}A5|hPVrVm@{I)4XbFvszpW`Yv9$l{n5I};T&^i$ZTI~f;_ zS+z$y3sUjq%XopwpoDQle*yzOTuLV>9RLU`dehlDHsP{+_8V)t6)I!y5GN-Wtk=o$^*%t&eqpT@{`5yi_V5(cA>F6T6}(P z&lub6;!)zYpfFkEcaksV78%CEN=a1MMmMmd)vb`{c9d=h%;j-)?_J1puo5p?$v70oayAJMT zqfOi!lA6|b*NZtrjYom1nEG!ryVakMP0{d3X~Z;)WuxAbu^U9W8(sZ}pvMMiFRAVD z;Um+DhFA!ea@ZpzB{vL6yxG{ZCqV@M*;q@!gv)Qc2-IPep6>Ug0m`6dtFIIzA@dU(w zy5=UX&UMR!iTn?DwU3nOJ3xy{O9vhjup01zMCaFO+!c$vr7`-Eu-~1R6r%$JedIyj z?H%g{2tHEX^|ky9B3{3^RlmE8r)_-r&|926pJe_Mi#%I$(%(R_Uoi{LQOVvZ2S!)< zasO5)bbh`UptqtUiiMTQ&uqP@9qqT~Et1IA-d|=6y79}NJ>Kdq@LsBO4YrnjnD3ER z$f#*_OT&9r9-=6^?q`(RpJ4oj|AaE54p5iC>|Szn4LbR7 z%p9!?S|lnhB}#r@AjMuSJcrF>FJ`zWPEK&Dp{9j9lT`hFDD-+CbnL4YTa3uq9Pa7! z5R%X;kE_f$m7U$ahilec;~X9p!orodQC%nSm>CPlf@wXZ2|Y7Q|xw2F3+5cyC~HraqF50t{ru~(_xj}=ng~uc$5Lfc&mX6wA-F#zJ3dsFPTq&Mjiv4Y#n2lE1 zE;2;9JB(E}W{TvM5Y8ChQ%x5u3U%>PZ_H!@1+Pb+BqFPUXTrE23<2ouyxVJ#C5=`ND zt%Lp$SJZm=uU^F&?+ZxkgJC{7`vOg^p;N@18elCwd&%$H5;fmNT4s6Hm)VYYt0ET` z!e8@ge8TDbFD#zSQ~N+|OLIS7ieLMi+C6(qT;!FHQrACp zVNXjw-v}?v^gE50Ju8{}BfK)VkbRl>iG!)DeC)_?1{bKgSjZwc>{}c8M z?WawYpHb*C_UtM3DVi_Q=%b^*M272@^8w=3%~vDQz}dI%j0U~_DC&fhUs?3F6uSbs zo9q%JXVU>4fhq*NH9fBZ##|gTKWJ_l31o)$+SBPtwm;N9!{5wtKKg$@E}LeY1)s81 zNUE)ENN>7&F|6o@`F8+ghx`IHk{c}1v!Q=FQ*{bl z{P~yCjmcE`2X@u7!_ggLSt2o^y-g=97{Mx|##1S6mOsse8;viGV!aaw?&QXrtZlct z+kQcxY*UY=GLv(miKiB7a;5r`b3kExop+bP^3P*rSigjcYeZ@dWVNLCQNLgyVeh}B z+zvRmr>yS@CEfbMZ`E`4FNf8Vi_*ZP+=$<*zDZ`h#?FAkq%_s%{I}bAL2KgQ*;YL% zvj-o8vO}e5v0HJYdG+L4_xw7t*1E$sE7>DxqQTA1K`;Nq{k6E1rGYN&a0MztTVH2D z%Laj%-MSH-8bI8%GGG+jm`+9Nk=DN z%JZnHmT&YtLJgG)>f-Hy!xz{<5a#heiG}#%UElR@jP#37*tv>%s-}u{J!#84*|#J} zf2fbju*~A%+#WEEH&;ds`caV{^?Xi?#!-pj%p%d9jd|2m>s|Rm4R%TU^Ea!{NQz=e z_HjuKK5*n6*F>wmp@*mhGU&mS-(&}U1S)@=!Hlg|RjX^3E9XfBV1M6d?(Z{BG*vb=O(+t+&4aWN^)LC0)B&J3Vq0b9wcnGTcY+D<9GPjxd0*vTU& zOdiK2qx|sE+$vNJikmCc{HNT4%t*Hw!aPF|7AHtDn-JJgB9||dG=BBTB%=?;{6)5T zDmF^_IwB@+L~X%{Q4gj9;6?FS3C~}MuF%eEswq-r4abGO_kzkT1dAMPk{F8yX zh8A6$iV!4hDQx{JREE|?j+i5ILYXu;AlDJ+e>Tj}WdlZ%Tr*JMTCOJewdYQjw~h#F zHk?+qSdjE$Hau-$Q$RE2&qq87C4+o!IC-+>5oT;0Y9i|8SS!t`c6oc7+hgKpn*aF~ zGkv%FCP4NfxuM=$O^`B!__JGLAOzq2Kiw6su5^g8KUhT%r@9azD67+fF!#T6~y*MG%zky zdAQl3Vd%Vyl_aOQC_N|QCWR?So=l+yVv5sEnqnI0%n%+UzbB@yB3SMomL9T;IC;jaWlh7T=$=V7YHR)N73`wO`l|4m$r4rpmtjS0Rq;>V9X$5V~erbskQe&rvv4v%nC*vM(+0{QV?Rzd(26=xN&KQ8uDh zFzb33nQ;+G%9!YCH_ei*rZC<4Zh3J$QWrN$_n}VQzv6|HE%do~SKG4wk0LoaH#51E z0d{C-{pGp4kpvpKfz8L#xbsV^<@ZL~&Q^Ubdt!yf+ybNRa?csoi=jIUZl=PbA5MV1 zZxU;r+n(W;RGMXC#3s()-r&aH1On=$|Z0u-t5 zzI*sx-7Y$sm%{aqha>bTbL%MJ-FS4xj#_xX-CaZXv-wab#Bx@m>;jXJg_I2aq|CCv zuv6LhN+H3%kJ+(I#1-lgx)522n$Dftx?oI1$>kA`kY-%vdub=Z_~-oBag%C%y=V7c zO=nY}wEfAIX^M-@-$eNC?Fno!LBa1$T?9z}PrBR6t4vW=4>wTj-Gx=VJlSdiO9$I8 z^|y6NbBoZoe{;4eb1#GhZ++<`|IxQlh}==e=EgBMUh@z7Tt6|6QlyNuVX?+K_)XhD z5DCB=F7I18>Ixy?(JzYAYg7~=Glc03M;JcG!a zJ8oihkU2%4lwIt_P9a|b$s;S!MRii)DNZbk^;-=;HMuZ|$;&2ryb6ahhr%1g7zlDX z;jRJ2>o!hLzK&1cOHGO!CiMjbs*P5&0WJu+t3N{v(+l--~d~;vNZoY-+DOHHwy}PV#I>pY)4UAvJC}&(! ze!?q9`_!xp2bq?w^<6otO6>AM2Kq80t=wIs!4*KWy9iHg8xcP(>=f$|d!p1c9@C#Bqs{LN2WTW-0# ztL_MdtxAT(k^?fIaxeKs{Q(`bu4UwyDTHWQV7cbT<@E0u{iTnYxPcG<)lRf~Z-ehy zUls*XYf^O3%F5u@4=ViEMXN9GBcCqhK$Y$eZ9`kWmbWDxTVo6Fuih86=1C!PlrHr; zs*1a4_sj7p#Jl)nA_(ov7x?6_fBl;Mb~LLaL4JKN_*3MVpgh;KS60SH{{bP#;F|9u zlH?~RVm2S`76J~>D(YHFUmNJRED#dp^eEm52!Wq=u9H6#`cjzB9-b8)>bU-D5_;wh zonLVSs$g{Lvx`?txy()xRxTWk!pzH;ArWHJAM$B8**ruA!~-;A=Ci~a0Le-+96^3D z;*RG^_`md?8nu`c{mNA(`h~^&l<=1_b49V5OU$T%h1^hT5m2RQfg~xcGbDyXsVGjd zk%>Y4mXC3B3{+VRLV5$?K@5|1c|Yo4=XzV}61n zVhMjqR8BLGWMN!=v1n&7FSZO ztwQc#p^dJ7+QEOLR94AdJ?6Z@I{SKcVdLe9 z-y&ViTZXY$5u=xX9Mg{L$8eDPZ=}gjGpUcSl56E+)uWR%*qW0x&I32S3sS!aK3}ZZ zet90DLS22kqaz6REOy}F2{(M%5}{)Bbyx^WO-@yjOrEZwsX$JZ{JDygET=(0E914X z9VbYaQw$77t@MV^z{+Bv%fJA#XM%%Ah_afK5(NUGkx?b%sZhje8cUQv!Hq|4M2f@g zJkJf@MW~c3QWn{eQ$+#f2bdL$Vgx*K@uMkTfRnR;DuoLXktKK^8$N>`_oM;nfUboc zIJpS^AE>RkJ!F8HS&|ZrvL-tC{U0m(BP-~Ctlc+WxcEwx%wW>0TvYOL@n4-MbMWl+ z2=S7mhDP^Guu4Ixz;A##u~L6bNy?BHH{RV?cbj%D*-70vJp%Ih*w(|?X^ABnK?^%c?^UKi2#eJsoj{3+$#su`nPyqm~3=J%gA;C-g`)=`P@t$v} zCkx%roSn&exNqn&Lz3R%E<0}Zq_p%&_|@TSQ_2&YzToA`#uK7L*Gt~Wa|By)>KpBk z0q(*1T<_X=6(y&$<$tYR$bg=>n?-n)i-tiryIt)so}M9801l?)fVJiP3cMe#nxLsx zb|AbBDIhz&92M+iyq3T3ItY{er7d5<4BAfU(1zIZ9MylZh{*9V=FW{aNL#I5503=I ziTbv^YHI9`xjXM%rk#i9<*A;IhXK78$y1GyqG`qu2?Ntk^Qn8wLCc~j++-} zgQQsni3xVPLf50e-Q_=oBvemE=lERyf`1Act%24ZWdpOMA9CXnkB2Jo-ug7+7rF2F z+TlrdX!Brii-6^`1Ug#&z>hnfqbB$7CpY={PBu6Bdg8lia`eTa@VLLx;LIxK$mS|C zLg9)=#-=KoL6@(3z(FlmFF+i~D~fi|CvZ^f@yrEC3?_tiegd;UZfD!M;1Se z{EVRHb6j#T!2-*2?SD9-DFNFCMH+kNbbVcT8j221ydc0`Qz8fol8kA=RE{M@IGZ)%6cn;{+_7s(xv*uaC{lvSabg&NR62P9Mz zyebsCXBSS&itL2^(L!4hA{}3}#?)wZTSS#|n^uIE7)@B5Nn1izC`y-%(kWHLZC0BC zt4Z9ll($0!&<(uYXa^|#e=Zu?asH(HuLUh>9VLa`wY?m6C^QM}D`bz_ca3Dz(asdXrTkzY6odg5h; z8FXVu6K5}HSzhq)tLg3QiO~tFK^MVEs==cz@yT&AKEBAkjG`gSa=a0~!)4rqbae?G z zjUhmnU)@ye&cC|XN=~lLqqD1yajh=(<|ErE)47wz%X} zZBInop>FSq>+!}3R`)=-c>0(cUuZ#OnuQJRPb(MwmMWz<7xZ5yv2kkYR&s@F*z7M- z$C4#k=%K)BQ1M#V+00P}grbrDvE^;cw zkjPXX!=a8mVV7RH5Bdm2nHQ}AnPy|dr>8ut(4^JE5h*w+pmr>+K|rO^B??6beVD*Vr2!`n3VrK;;62<}DYczg%Ds6V% z0&i&2!y>xFA>3|m{X&&)*!C4myn&>?$J5X6Z%JTE0KN|9;?MIH(bq&+lmhhLjY3*Y&`adE{?tisZv;L5$Ts zvNLV~=3Xb(y@i?@n}$ZIHhYhJoPCXtUt>nt>Qzr^a_0g@Nd5cMAYK1D$jV=-S?wjc zt-Xl3CER6FfV>WrC~RNB+bU{L_DwgEly!ox*|!{PL@Oc=kRVSxmszVMDqznH0)a6# znnw~OZ|P`+lL6HNie<*O2%Xu1Om)z=NYcuDVS9q5@=21x9n#tn@ypEM&+Z{f{egU4oO{YiKw2(1 z4`EH)ZvxI0^M$7?S{+UlmdK{*^ky;xmFgxO0n?D8b`>iuq^cQ8Q&6iFi>DtkzTKHn zE@T0viZh#m0Ar_M6^!Qclfd_QOape_MyiTg0DK59lVrfMVYblfhfrLua-7sL6IQj9aaz)kOH=vD}|LraEbTIzX|I#1Z>KmH@6mojl z3^9f*ZeG5A{W7vvo-#1N|MI8RV$D3`$*DWzTEuYDZAHNvmTl%4#wMOOgsW-+cblkE5p}2ioEpV4tG0Pp5EebgZ0~H_Cvj% zt((v%VTbM+IQ;O4vk|JDdbP_k3Y8+{_PIEHZ8-73cQ_>9g?wY=t0L+Vm%TV4P$vD< zsQdgvuH42^(quz<;pP3&%e=cB_;CUJSGwA2}faH5Hm9v z@ydcK$;QN!0v2l+6Tr-3ARODiknc1Mg4#wo=Pn&w1+qN1+qupnhi%jOzyvkz{gPryj&Co%qzThpOzcF&<4U`xWVk~GN0aphc zcSn7%qn}GvUc_QYgvGjA+dRv>62%~QNXcc)^eEX+i}R=P+48jBywH~8`Gst&AHaXE z$(f`nvqFF3MtmzF&`A9K;a9t_@y!q3!1)c!^o2J=o9^9*1sCo2)A#2;P6jcEl;uYm z)}RJI>Y-PSKcJ%uQPJWKCCu&D^Ni_?rny+EZ+`*)4Q}Pszw|om@AssSay{#BOSmg@ zpQo6AMwIYDW61PuJq@nL-q50~sQe z^Wcq}AeM`HECZBF)O8UBLzNZ<3Z@`R6Y{Z2K&8G1MFv%xwK-ELjpI^8h3Nq*xZVgq zH6k))2#Lu$pJv7l{S{8UN&11yODz}`o@BYz&y8?Yj@SyS-Z(uAS-@gM^hdj%{m=_1 zc1Q^+Yb6_D;Q4z|^oq)@BSdV96AU*qgZlr$k91}*CzH@$y|zr1C9L3;mh z^qlY?wEI%=;kfm^_@|%#6Q}rR4wNJ})5I?$s83JchRj>sHd%BBwLzC0a`F>%#Eg1Z zczf;NiCaPg&fMwUG77Z&-V3BZ;lH2bzP|;c&VKxjy9K!zw0+!3Zb?d834U+{g3gzEJgd!Q)e@Mt_4b; zNqi7fs=zji4x|yG!aEg5aIRh!jj$D8`0fuVC#wuG{K=YujQf<)OdUE$>D6=b}E9ej?sJXrq_m(wbrpgwF#Ne5;TkD)@AiE62sqEuXrUBcSK? zFRd(mecbu+mCqs>ffvAyVuwrQPSN*frKzNt&*qsL?S}TR$~z`oqR}(5sqvQnRG&m) z3M(9M_l^rumy^|n1fgO<@Hg}g?9RS!j0ML0v=+htF6dfKLw+k+3UBELM&%C4Mi=rvmuheQyqp6UG1=c7AcwEmbRSY@ zY3`e498b}jd$}GC`lN5Q;*H~Tl!7AY$??)-Cn5+btZQyVS;+@Hg zp@h*4>!E<7PK8sffHa%5Du)?br5X9WST$W}ENLa!ewH@;+^Vwq2YIEi#Q-YhqO~=` zNboSa6(~>L{1uyOhpJ(opgD@`Gm2}Q&e(UvqZR1DYy`4Nn`k^p_~BGErCe7z#F+t^ zrJe3^ESh4iD&EKV z<)eV})WuViKhwXw1~~|_RtcB%7-G~+P5CufHEZX&9=PZ`6!c1k*Gq($B~(t?L>(rw zHqISrDaTbsyxEwc#qjm?Wmk#>4*aC?9SPN;HgXUmFDK)is1lB<4wFi4L=_&bPM)A~ zhLHoa!Dm*jAd5UtWt1QUB}2i6tAvRTe;;T)R{N~?K|=q3 zcAY#=bHbUd$;aaO`TtX3p%lmQSdT-iikR>`NZ3M6v>l%on|Zpv!*e4HUv_UW;D=DI zlx@3drEoHLIT;_aN;vUQvZlEansZyFm#1yXa&?*$^(9HzfhmGkJ(ug!w|5iy`6f#Y zpC(6axn;2&Ddu{S^+tGaJW+LQi9lGwv+zgD;v0R})~pDh8E~3<^O6hw!S5xl2t`KK zEQ$(b=9hhxWEUrupm+`!GpleaLMp>62XWG~Ok5F(v+_CWAkHDq=^?12a8zZO7m6#{a+M`nGib^HDY6lMrS4Xvk8n0A>7p-9q zsx(?qrQu7n{T(i5yF`tWhI~;`X*MoB)&>$)8-tuiUz~)aY9htRJBo=|%!BM(7p08I zU7_rr^eDS*W7iQ6SqE1vLeCmND{af)P;#>`&FgHtNJT)Dkf(k9Sr`HTBBS$nUb_RH zLYK#K$dOu}S==p~YB^onH$l}*cm~%=Vso>$sDT5so)(+d5xoP!%itC+ZZvuouRv4ih0SLVZ&$NF(QpOlgF10a2V3 z!8x=Tv+^0SK$>FUk*qxd2*Ze75e$t{Y!pY`7+OgnWS~d$6CtdFhoO{xQ_UT$g>7Ji z9Zd=k?PZr%=hJT~`^Xg6beCqk9JX6?9$FuKRoSt00^tDIWgXx~2hQ5{(tM%DiQm!R_ykQi{pp*5OGTgD3GabUaC}l{liOSV6^|nm`R-b2UgB`QcU#qnE zP`W3hvx;f4=DK0Jd?@zkM)*dkD#2InK(Tuq$0yA~8Ys=GCN}hn4fbUcxCTUbFS*$o zwK5}rQ$^CwK&$=$xh6Moh{~n~$~ou8o1ZxH=-U^4Qh|v1^ca~}Oh%n~i__xkQ#fzy zJ`qV*Zw9Uvi-ZGwt3%QGeTvNYSy4CY*59m8=q6fBI8TN1rd-}H)#>B}`fhz8+4kp> z!R@QCiFq*C(L6EA^1Ku>1O{m=6@T*V`M?klrMaS4XC z+SMR;Bdjo|__E4jd%az)T9I&mhLC+oab~d^cM@7*BOKO3(e`t4qf@X$!vSkHO#i>yLI>vv3{D< zHdGL$l0=Q_zwU*RlrW*ubccK-6CP~6PFer4d*O)O(Mj^$w!d=q#`(e zgh0xoS}#*U*!8)FRwlF<$er}0uyHbjcbNA;$g!i{)B?+bvi85u3ODhiCOz_Z`Vu8@ z`)_DjYu?>>832_i!~4TU)^stRhEEx35N1OyU7o=)A)=Zv9@JK=(kQ|)v0%Tk4arJ~ zF%my>oyP)f7$LR%clw>$# zjp=OTN;}=)2uAfeOR!jsS)3=%EF-0vi55;PZ-cnn!-SM}8ASm>`G{l%@7) zB?Jf9R+?4{k#b8tHhB$3M0ijV?%`e^PP&Z&ZTPGrEY=tmB0S#!VlLbe1|V-N#*iLu z60RAaYs~(?$ho0vAuQDC*Yx_zPGfRRan~-rAR(4k9Txj~MCRBwSZK$7TudL4)%4nN zJfs_oa%FJ_e5VIqPoTR%-dWm5eVI-KD*5UsefdZDVZ2?ka^xQ4+hFaV)HEqBG+|W7 zlRI@^stAf1-k+nF);wWlkK>g&ak$vkQO)#@TBQU8pagE?fS;U1L3*d zlBU^|AAlu}xc%|fV-1QVY-}NV4CA{IBRdiX+Dz4+ly)X ze|7QCSqW209@EyzZ;BLU!|0|AlO@|n$Zsihd@^oW8irIRhB(Znc3Y{xfpQ!oVxv`{ zVd!T{-~cSZU`K)}E~WZ0W(Noone3#jd*J!!bvnsh%#nB`=Qscd5R&-??-)5u+3-_< zee|1?*6s?~9x%<2#P~|!WrKXMcMC|ruRymY%{p?UBVeqEv-U;H8CZ_DMf};*f#UCJ zTaHzoU&}r}21bcVEysg{QQJ*xiU&2MFCU3KtOmqKZEyNU7q`6M8;A~Ud7J_=s`Q{0 z))_5o#a;FyC0$d6kRO8~rjDm1yjWrC!|jWXk|n3%8O2fR=5x(mi}+<=Up?QF=x2m< zbZ*h_1QwQGREBxHem^S7KW-}X=Y;t1)1G|{mN+)3^hHqU+DAU2UJ+!O$-LuqO z{687ly@3_g8G8SoV`8o0y!{joqI$(QH*v@1UN-gMiJEiKZY_q6<@fD)1KEZe_5 zHaK2)&nQA`m(GuS{9k)eUXp9pRBpKYA>R9+mZz4sbzSeT%m!d;m$tTb!B5}TnOLNp zjEez%ahUxx`N)$;h>Jx}8k z4V`bk4;q;4BxFjyQl1gw+L$Z;?nG;IWDz$y)3l{FT@B$<#imVw0&R3#T{5RJolH{F zQu}X8mB|ezqSUnu)7jJ|X%jwHmpGZ&T|9~=D6yfs*lAIqhHIvYg1aJ|R1c!X8}E^= z$g7#+B}4-*Lt!K4C{Rr4sr@*-lqjM%+C}MQ#**|2A5#Qdc?RB~xAKgQjaf&e#Z*H` z4aqAZP<2BcEEaeGh&R6Bk?1>$sA#y@$%M5b6D59=`fMF)`Y9HLHr20$sGFj|EeDmR zRQMPiz=@QWTmn@`gU12Pu{xQ=%_*R?<0x&>`9pEKCmQK1Ri5U|G(+}lP0Nwira_e{ zy+sp%v?j!%>IiBD;6B7UfpRl*!6Xy9RrX^*bI;!BRq>2{F5+aPOdV&=)!~PgsiT%f zieMFw;=x}%lWdA&PA<~aahvATM~SkSlbZnrgtLDfPl1ytt2f4gsJ8wpSHYtc#vAo$ zd8V1g(dgqEoD|CvibD(?-E^upJZ^2b*bCqJwpV$Pe=WkPfm$c+m4pidGrV1rEfLJ`xhYdmi@@j~EbGDbLZoV;?Dm^K90* zh(K(C1RY|`6xZ;*NJmvSOvfIHJg{qs5o4)fT#>iSVu2_`q~fUvq2q}NIXfq&L?z9t z#cEMdPUw0;IS068ihdS_=*=xX=P-5-kahdb)lN3uF}4eg0FmfkaTZWy=gf{;zhd52 z3negwv-|(?`5kR7-fG2>#Um40uzX!Bzv~$W1DK*@T9!+#%4LcxzYTR zB;JM_FWrOcuf9ic&&xkKFp~Q?vQwg$2x966{Oq=gJEu?#O;ZcxWm`>NpurbLM9#@{ z&BcvpaQ|+t>wQCKS93k$xaZc|b^XMhv=Lz~_kdw} zP`m{Fvb+s5v34ge{KB_EHIWql_I&Q`--dySRX%^mUn63l+RV+jkVuPQ*Ow-B_4FNc z@L5&8h_P8m*E^5aj@~vJ-d)Bc#iY|Y*Wm7E{;osES&NE;I*bopexYcm7ZH_c!ah73D2Y(?rgwMoO=YfITrd0c~>)_ z-?AJY#MVOGRqv)Rdc?#|CZC(pd7mErjU*jov9gij z-}S-O8}-+bb3Ruy{_P@G((@BJ1LjA6jL6>RkN>NTynZUw{DzBed+Iv;+}!bsbPet& zFmkd`(fsv1ER+cTexYJx;SlxPq@UfIMyWT7WKe9O`j9T_raro2fTaEh9_6xWjV{K# zC-*zCx*8s-du{Z#X+^G%>0Ic`20vjRaWXlzr+^-FHVg& z0w18?q6y0c56H^|OUK0iTk&*SwtvCXWr84G1&TZ-)S>P4;)4R1!*G)XIhQ4osE-}Ed%%b}Yr2voy3~%8BdkrV08b)sE`}y230JvkhszyUbREp|QIA{Dj>|2+6HvI9EAffl#2k8$% zYYaV5=uc4>OkI2rvn4gsh!PrDAXJO&d{kp?V+U_rwJ$|OfJ=;9BX}Y*Vt&EBEH@| zlA?JF3WNwohVuDLOlGrP?IAyZ7I_Uq?s!ezhB05n?O@kw3Imm8`+VCFovZOQ5zA*; zyh_+$4~FwS)XzS}@o|jWH&}AbYwCe}^h5*9U6O?eRTht}baVwb3|Yrl~;Gt3q(h2ZT~3drLaF6$D0A2t8yfqDO({>-NM= zt6B)mhfcns7A8?0U4IVX`AK-q*qJ0`ug^^QJ`R5V`us>TsB*4AL+`4`z8l08BH%yNiIiK-2p%$$$%21KdmZ{?~s z5xxsdYAQEh+jvJ|W1x%enU+D&?2el%!X7>!KH{?)c}#`2Cl7NgnkZ~4KhO*p_9usi*S$+%HR55NbvqSt+tT}+d z>T{DUH#R9wTxmYiK=@G?Pa4lSqSDnVQ9|jbilW%kgo^iIe;b)$QGd02##{7Tqgn&_ zp0!JshK+#yD;v;XbX*5bQq^NndYJP^?}n4>BM)6euW%?!kIMAx)oi%@D!XOGM`F}-rjVf9>am2&=2p-7 zuoM7gWp}0i?>UCw;|tWw_WSpfUrZDx0DmUeN2A6*s*}o_hP~Z8+xC;t6YDOAzde3k zt}z@lj21ao*20elg*Zm5SPw>nN5feV9;y`S4QDyRm7h{@2aMTBe-y6@Y=x z;pQ>EnD?!VZgIW&DjxC`0%NTW2GPvk)Fr`N<`V?Vzs5}o!!l|ReF?;}O2EcXtl+q0 z=e!b8=u@E4@4^VO`HTwp3HsBVB}+?os_`X?a&&J$c6Tl_Iwc3DCQ>t5Z^E@9#B7*T zDHR>HE%|R@W_3pPV&NV;1o)IEMriD>%%!oGB^dE0R$tMZA#Yv>hBS_(Mp$%>C(^`q z_d&WW&G_%T)mES&Gh=s9ekGjBIzX}Ud`~cvI|lHLQB^K-Qk5;XW4~R*1;#XrODT$i znze^E)tgye&r?$sEjD6}o%#7E4>wRhvDiBf<>qgws^5K8lr3Si9~R84%|vMw4l{`+ z&ECG&;PTJ8pbS2xPn8PSup6k9X3Vk4yo`DBWVb1mBT1PRz-Qxkak`o z_+B`r2AYRXWw5=+jgU{6d=H({vajkanxmekmBFp>WkAt{GQd2K+9}417@JwWBO4S6 z>_VD1wp1FZs`X)ZS9FHKRX zC*@*TRT|kuYn?abwc%2bi#vH~qR+^CumpTda!rCxlN{V1F_d)hsx{L4LOXTsCNzhf zq9CW&tfqzac@8T369R&f`IC@m%Q?9%o~E+8jAi`-wb|z8vei*d9dQ!%>eOU1J`98j-K4a9($vc@tOvp>$&+__)6_#rHG1A)|cU=MP1kBm+5B|W> z1LcnjI3!~l9G~*qqF)OOp6*K>Cf}%ex&v~58C)5YO+*Qrd4w1y!F3|CHZHfzO_u;|+e*}MwaD(S1 zoT^5Hr}oRC=nrIA+CQmA{RZ&xk=hcuN~$FauWtt~CxX7f?Oy7TIQrrzTfSXKAvR=) zXV49{_=CH@m@h#Fi@o3=v$&j_Ag*FdXE06{_JY`^i( zx=@^fBzz~xX}AdZc4vz!HVo0mOu_C<{39JJ=aP4IhcDhOEo+32z~KwZJ?`tAo~QJ$ zgNiRL8+*n3-ih+tT4+AsDR%HNq_Ao5#DzWlcdTGS33f`1paW~kryGq#1q=JaELQCq z;oNs|7&ojr8>m2poN`}?o|+4`B?%X)@6pwBY?a*3HN=eK!Yjsxo0^)&?Em0ZTW z=0Sh4J{qnlkmpQ`K9G2Yot1bBDI@K&FR6~fbZoRrPGx^3#o-RIU83K1Fn5mXnBhF}HI?P(^roID~P zPM%3lA+LAjU|`BaRg<@ay*Ucerr5@^y^zw%7r`tkK}MunMeAhyw<8&CMW+5CLw@hl zL7|<3lF`nUF&ZY0yL>1>G{1K*XIyM%)g)$1e1hR(m&(c;OP=JeMfk&=4Rc75f!j%L z0jQoigN08Ca&dM2{+ZR|bCnTbGr8VgzzxY_#U%$b6Lomt?vdJKm?9T`-&b6nOtQTC zl3sjtTfS4BdhWj0Y0nkeRL&Xm@w?Z==HX!VM?xCV1VZ}Db&r=kuk zkCWXpH=_@Ind6|V=P22&lKa5Cka8qeGQhgNUkh;=c_S6RB=TgH5xPTiz*jL95|$ul?FRAL~J3#jowR$QF#xfr$)be&7YpBhyE!#LO|whw9=p!N5SpH zutbA%sUz73!je&0^89h#qpWyWxwHx0=PfGoxf>L5{wiboSbs`?FKYOm{I9ej-$zJ5 z_B{*VGYeU_BA(O0@AOXlYShSa-mm8`ICnTm?TcB~nCwhCpT@rU7&YmoGVn>VafNf- zy7VNxY#7NySHqFqLWe_2;mE!-TA^J1@>;9;gKK`Upt&CAPwKFLj&9F1xtFf2VhoF{ zeshSCMaeG#dQKvecv0jmC&J&cvWhlgfaxbQNY9)j|II2X`I=iF1g1vspDAo8XJ?N+ zMNz%yoh->8V%bcR*mzzozC6=Q9M9|zX1*qs8a9pIqMdneTE!gUKbXu6GAj&0?)4Y{ zO|2an0Q8f3^Uui+5`wZhH7HNS#B=uaSZxtXVe|MQ0X^dQ~} z$x88Zu+mvf+L?FGwqx5$TYl4MptlBJ?Y7=x6C8U$OmyA@Z7`7ZpYIzOTq>I*c z^|-9qJ+3KI|#clnb9KBOZ}6wS2W{ zfJW}7CJ~EN4ghQq=h5lVq@{4;Q!rJjJV7uzTLqhB&blxKkRd-C)FGf~jnpI!q?IzC zDDdoqlRyXooEGotEJKOZ%KagdYG_TF3rmC%6A+pPM<|cJmjjf4hbdK1<1TW7R9J#( zAaRsaWx-X&WUdWg)dR~G_lWj2Qb~|1X*7+P8)rhZBVbcu_))l-a0t;pcpP@YNSzq0 zvxs$|M3@n*ld~je68!A4oW%8`?40Ch#op4?QS5JsEwYqzgcJ}4F(&=FXNt|@G&z{^ z!LCKvvr?9IQ*+!xIwHD1AMOh_oaeImx= z;<9hCM&BnHXlcU5CDWwqE8hn*tGd7$zqB)vl{}gYIn1DvY8J#**5Jkx=)pPP(!5vP z-DUsdzSU~?s3@&E-JE~4D9uu<&SN_2{se%TJ$IRNj2YLrqQF~omW}aN%&KbgnD`}! zGLa$xyv{IPRsWnLZ=`GQdaP5>Fz*@~sS3@uoe6Y79XD!ons<_EeOLS4vQ2`Y43~+* zKbbQcW^?d12Cu$la(bRvmWt8{1)iverO~ig{1_Hys0V+>^r6BOHMMfj3-jBnIUX>d z`-fI|qb`EOC|N-!*J;L)xSw_jjhT+W%*roDrtF}cw9}RP1p}M_w=M^xcnD;c9j+vO?Rmm0eI_n8R=uj| z&rTM8^0N2|!eIx-Zu6}%T+5lf=OF-029Elko+mX$5TS@@-NEz8#XhQLGfUqsafeed z((RO5{OX?#sJcds5s&3IkES`5Z)njvJ7W}6-BDleq*!hX{9ZQ|ww}-rGDw;WeLdTY zms6t^c-#!>1*D>}w)Ph*p%rT!0rfS0u8{i)oNqzDr7fYA7S1sJnX2&MfH|PIQ-S0( z>dD)sIp~vvf>eEvS(|(JR?F!?z?raM`bm$$Y?{2IE3o{4^j=}Pf#l1W)k)_X32s|p z*MzYN-m6f_jHmNiwRhT?NLYE2_xSo?I^#_j)B2+x{(OlgR8RR@YMPEUl#~P1vrpSX z)5QI~lS`w`eC4B9Tf*}vVoTr^oia9dyUYAFY83mW>fFX6`o7kmY z>p&4=TfP5D7}BIT7`m(|l&j#*1$U8x=R^4xt3cfSA%gF9P^Xu$!I#`$e-OlMk~*@` zNhuc}-pdR(24#pdkmgTf-T*D4L5`xD?mWZ1dbVqoPew6EQ0sRCRv#(}`{4FIboX4Q zq`V-?0Kz{k;b2Ciks{dRZcXSY3$b9aY;jS%SR=!b^RSBB#&5D9TE^J_AK)#e8pojx{abvC3)>H~Sf^&h$0<$~f| zXpi*W}BWmfa zP&&yKyulq6@O!~8V?1f9N=IjGrSmf(e*=1Z-6(gl&1Z$7T_{nbW za~wF`Ve4^7-M7Xfw}gR}MC8w?BB;(|_21j2M700P>&2%vfqtlb@p#qArssqq413MX zYZ(Gc)MRh zUL1IIV{TOU^<=(?C%u>Ya>Zw5EwQYz`^JNSe@{fQZ}vyP-PC~ci}{7ebt0mzu7Z{B zFTsf!7nhlk2-M0@Cf32CdztfBZj~87)|ML1^oe1^bYhi?V+N3Yv#ph|92SDVwgF3-pj~bHgttkyHpyRU4iR<^Pdh*I_CoKX-@E!DJa)A_6 zy%f_#LtDa)P%VKDTV0~GbH_>bdSE)de=~QH%}=&0D;vxQ!K^d%{+)~%>K}SzlgH&$ z!%x01Hz2%fYxcfoYl2VpO(f<~8ts)9a(%SQ(~HZOi*25I98^eA2Wb-e(ay>L%?ik&t@hAG(byuJFZ`_Z2@N&1le4XS-Bq?NRn;j5qIvUGN0Z33+ z#IyztCfX?hJs%@#A$gNq=1mxDk5iF z8p!xwX0`#Px9%NkT9Bcxh>I0`W-j7*RUHhpHtvq`&#W(`c~u+e5~HSK++9)K8(zOE zIg+7qOa4@38r2}ENI~|&#NN+;;Ro7&+(VyG;0A3*U~uZNmsFv*D>>p^w|Y8+ifP4K zQ?}W!pZKLzg@%$npWJa(ywiB@X(?~?nMy|$AbGvFyMwl!{n^WqHmmuilb0n#=M$wr zb1qIUz5Iedz4+e&dQ*ZN$oQohF^8kLjJ{%fTJ@%Ucq4M!2+ePCV)u!bk~BaNOh!}- zjBwqCy#QETSOt$38ltgwNYRd|xneZ{%I+B+m(R1=4AToE;-4`=w=L5BO+NEOJ#RKc(vxiN^J z2~1=%pml>{Z2W`bA`+CL8UX4THQwVtzB4(I^Sij382Au5zX*m9A2xr|a0o_TXof?S zzr(4i+fCM-os6t=I;j@7Q%iuPfx6)4AT54}t<3r}nc7JofxYT}mf6Qq-`Wp%C+-iF zG6b!u*%(8uwN|hd+jpE5#Ld?JksLntKmxHaL@fdrnFK`0OiSLn`ySw(@+Bq>$^|z{ z5jF&Mh7!JxOroJM%^*u2vpaik6L;$CbNPuv&paqkrxu{|Ih9oY-RQ>%0pxhH4zysH}EBanMmKvi>|%Etp|py z)B{Bij@F{yj0B`fuCny=Y`k)Mwmh)&1EQ`Bb4hsLNfL7 zDj&3XI&%ZQ^ibnwD?~u^Oc=w6&A|Go|ERmi

9th#`+X{`WSa>mFUokFs;2N4Mr(m8YD#N$Li95`z5e_gZp~P@` zK%27MV&K`I`u<{c_v0Y@!$K{*y02VcSi5OO8S0pe1OEOfVv>o8q=9JL9H0H{`!=@U;+)f_e0GEl2a8$vwwK>K24Qufnp;Bl?(!@E&9^5*Lg; z$h7ZEH3xF)*=oRT-Rs(Xs_V>YW%}e`Bhz!Ot78Pb!i17hMsR@#wB*IQ*0iq0(<;+H zGAV~o*zZf8rtBPAS%J3oDm8vteaj=g*zqKU79>I)GjUnUN!&pQeZrIHMuGwj^961l zd)W)?j`hP&E^fYcr#F;6l+!+XZbr@)bO{>E_Si0hS;n@EcC@-~qtDk>yeR}dv_4t( zM4J0>t=;Du$v%J=k-z8Kv?dn%;H~u0Sr(VYkvWT}NuD$vPg}O8N~`wDiCdsd)zU`W;mFkLy41&l+vswB z-dm+^@kiijVSAZ13N?0Ij^1>uFCry23DGpZ<&qYh3qODpYc0jkFOPidn`QhEa) zemShu4+-#G{PJ@(eaheR@N!+qTv)Qem1e>XQm>54k1N6y);tMjUW%+vX>+0I(mds1 z=vo-DxNHNIO^@BnT~u0+7}Xy|nvUEE<5^FRu}`rLP`3&XDQS(&gyt zkj_i43ca+y>OyVYygO*qrSocTIquHq-J&R_DKEG344wYVm>bE%k5c-LnPc-?k{En* zK&`1b5$u+;FCIoOIbHoXR0wT1F?^Cjk(>NnT`#mE;SF4v^&&>#pGsH z6#i=k{5Mc@Jo47mPq_$m@?qoOWYBmx7^E9I;pqLA7{ZZ~{}Ol}_$)3KX&CtIz9fdQ z3Wg>>&Rh1TB@;e3V!?p){fGf+BV+d~&qrAaBLInrRM=8H5?wn&rUH4y0tbh*xdteK z&-KK|fGiE8ZUpCBD=|}eep~4e!g@x^)`7S?QxW^=MpoBpjXh;vVv2;DiF4jmnR+cpe`L07cYm{L{`FBQwJ7^Mr`C8D+kq? zs!-kDTQUecDccAV7nfj?ekpGJxh5SZD2{|7Ix3TaJVFAP2!rnp4q0p{1{r*6MDma9 zHyeeaNv`n_F?uT!RkmsO;7ZGdXfgWuiV2da9X2u(j%ZsN3Tl+Yd4NgqMtOh6+oJq@ zZ?-@1j&Q;#v7?sHlh4jWHbg=}?-u2^xPI=|tft=qdmT@1^vI;M(z>r3+4i6B5k+Mz z3f1!DBLS|qk~=7voV{Ayw z#XFJsH&i0x?S5jy-2sC2<<$x ziShmV^SvT}$TWVWbH&5mxyJjY5bDB4!kLelUsZRw@ctHmP*Apv)`+ChO3~EgxL(8OYypKv;*tT+B*r@B(sDseg zc5N+rxc!z9`m9T}D!b}-#_n#li>IwFpWInKpD7a6pEYC%0!a2VY?1~WP~6sSm%mra zFHLvdZPX;B!<5x2Vihzf-jkfLEyB*kL%-a}#A~MeTLEwZSy+X0tooR|4z4IeK0&jm z?fmAn4!Pwv3=iu(qz>+gny1nG5Q~ugVOB5SBz#(ugHJtor=e81IL2r-^Y^op0i;T86;2Q+&o1F;pm-6$iJqAxEj`2kp#D zjxiT%oLdt&6ty;{G%*2u<<*agm*i~2cargA|QRAHor;hU3o8IRBnU??us6ci~1|`xA#(q7V^w7i3%@mL*L;DR?e(-ux6~= zh_346LHz6*%l69$kr%Ha!>!bBFW*h@l`TuUY@%OIuj^mYrwkeD9_t=!+Vx4UKnhe0 zYM9*}{I64ELo?*1DVyOiPkU-wksf8Pt-2*ZrO!=DrWe+Rs!c(#34F%>Ed=UXHS%%$ za`uV3HPfd?0!*CCJ#+qp?s06jl6%o6ey*;rd)-&x>---y9n8hm8Kjcm-}LwkVrBgpqvVX1&7B{* z-b*z)?9V3-Lh-?jKHa=M11W=r%Fe)T7Iz^6=NU+e6+cGF`X~!J7s37X4Vj|syq*PJ zi172|Z7O&){WkOF7{tPgpQ30zlm(rQz}_l1+$fi5IEAacb?v$$q0!G@L5`zgqO6r8+UYGd%a$*v`+ zG(`9IKbT|CXgDT0(wYp@dvV5i+wqOS5${07TzpfALtA)(24%GgYu8+H!Fwtp*5k#+ zcmf2nr2Df52lh%#PH0L@+J>e|{Dq<`kwx6Ty(#&(ICmrQbu0`qsytUXR2)LbbTT6$ zjeN=n`x<%Ia_BE3b#-wJF={;Ta4k5*py`K3#GCk(LHL>h)7U~a^fW{p&RT_ih6w5^ z{XKORwo#*IN#BD8wB#@lN8{?87*kYO@qy$Vb}@GPQIL46uJXZG&45{Kh+29&qGK>p z#27&xtG~alK(>2yCow95!WE+%<^4=kV-3nGG$uKncO$xKE`-K%rXD zeU55Lk+K1-nb6Kht>|oH{-}7Ed4eDid+q{WOpH<85c8r*>M@J#4H{|!>F1=)d*-wr z>|WF7q#~4r9sCbl2E>J~sq_<80nA#-iP3;(!Ed8F?oxzaQ!QoAmz_B2P!MLN!<#S( zEUb7Fmecf_epcsvw;KmYhk!6Mz>OHpq!!<$j?#Xhl&SeaCYx+#24x5~7_8Phf?4Z( zVzhrtM!ZoSbRih2B@af%zp1Tw18V($z>l)Y6lP?GjK!v@^ep+Q;9ZG0!1ahwU4#AwF#v_|-c#WnMKf9G^$~?5uK7j~AF2E-1)B2zV zWH&?|v+&>GlXyqPd>I@%y#y9j8%r~~(%>h9^AIUg1zUM=BG%94MjLxj0zf z`qcoLdJaTfxFKhkbgncIM_PU^l->FTcJbrJI_%L*xRzeIOA((0ll4GroX^+Z+~gEl zQ}4h3{u{Aa<^MBYB9sIz^v}>Esv2iYS`FyPg1+7Pjw$^-+)T}Gte;lvz}(sG@i+yc zzdftr+b>OubZrH{%;r&t<<~r!bA_>z(yyNY%J`;5A^!G&qs{fJ=h+kJ6b!cJl1&pm z1;&cmq~CUw273IDM|3?OF9+e-TAr8@gjjYjye_s9j}{eDUccU4IHzb(Av$}dV{eyx z)m9%Z!>61}>R2sCLH?A&0Qw0E?r7mh8|pc z2cbq)A%b(n8&s$@3(tWl1Fyem?oSJCL_HULDC(9bY!;eoJ=`C4M$sn>@7k+Tg7}&fr8Jsd+(b@e7I^OSy5yrZxO2O2kZZ&!P z;%=qFZlSn1kg!wcrni9zqCZHfNrF;Qld&^%P9w->+~B49hPJ}6$37$jD5L|_{jUE& zxjuHGsfHDs*Ub~fIpjs5JO)w1ig0uh_NsWasviE*J&4LOpTCdvhRq_&b+RD->V#9x zEJ0PPI7P>yC$-_V&#g+UtRU1b8G5s#;6E!KOYkei=#itlTAMm2n&2G7^rXxVLDm5ia037~0cSrT047im8sy<`)(rWGCbI z_{Sjcrw?pGT*gaHvz9#xF41kuj|&nCU}9VW&L%*fe>F+|UF!nY{ZIMFDG<@~hW=1Y zLd`0LCP+vElX0}OU`e$oPQ1YCb{-aUzD7<-Hn$&mw1Eo$oRU<*Q1Lxs1~ibnjfk6z zDJ=}T#S4wsvE2JH3rn$X@LqbYdqi3@FyV{|l^hS!y;7aVXY>Xv1)}8#DZ=~j@DwY7kecwDJ_!24JTumSGJh~HBBJJq=!j{5L>_n~vV>qrgT;`5p_omYkVX{? z3JD1ZyD5BH)O%`UHwD@Rv(mhGA?60klt;z1WC=SZ?vR?&Vxy)2^lS=!0!PxWb%rc# zx_J{yt*&Yf^g;HSuPi)4{P1>+u7E`-BEiVEAju`Uh41nIe&BGk_j^cowR?=L?m;sO zbYvnWFLqr^uN2iQS->yOoT*PTDiTbMEOuxDJ#%nf%cmOFs|XfccTOLffLpNzmrD9Z z<;STBmWVPip!oj+-qgND7;LVhbGwnV;6p77s8nwx==P`monGM0nHBdDK3Iq78g=GOB_fMlr-@ z=_v9VYhUCw1PMn;#XxjodBL^%1(>axur!GJG#I53z%^77`hc^j(us7erBnq;X%Jbm zNQAORfasA?ha|;z4YCq;E9OUKB+~6Jmyx}DDDQQgsWdu_CP;8tk=CS*NHpEv(pZHH)Su_&6dg zriCOlb%;0hh&XJZRaRn4N~`@2?1Z`gJ0*FoKyZ#EN|YfjX@FU7UU!o66e~X1)#1Rd z9!*!6msI0dhN-NHN_(hFgGCd9AxSY)L$9LRs!Xko_Nhb~-dL-Q+PzS{l`G4<1Jh#?2wejgmZ>3`ZOlBcmYT?f>Y$655Q^YT5)|GeQ;W>wiiBr8*Sg4a zni zb4D%?cu^i61a>vR*}nSxVqa#&{r+>uMu(m=#vSU5X-lWO1HLW``ma~u4^xwyi-W%J z>q9VFYV}W#7sAALuXcS~5!9D09gUcw*}e-uocOh0h5|etI)jAZ&#a}70ABY_1gz(` zaQy8$)%^D|9{eZ9Y{2BdM*59r*7yg4;l+bH4z6hN@MR&D{EC1z#H>#`K}<&WMwvXCF3$N?f{EJk|QW zK@tD#v$K!j3RNVf%}aM&Paw3lSyWdoTA6#N(BUh=S;`N;42NeRsReM#d~W*5vC)@& zQhS0U5SmVa__ATJ`B- zRYD-!B<;$jm8#Xh`A4 z8i{Jis_FuyFQM^e#(ZZ$e`@<{+_vh>>oDfq9`-;2Jq;W@$NZO`UxfrS*ItMJl5kw|_kutr{UQW5V+Ji!%OiR5cw9_c-jrVB zf)Rzn!Y>4<3&4OZ8c|Z}->*3(Zvb%8|Nj9FxdhoizZ*ORvL+!w!UNRMVmu$g+4@5) z*cfoOh7uOSaTI*Z)(YK;P{N8yj)HI5S}6_{UhEo%_apAymUz_DUrGGe;N>AFt=ag8 zmVI=bz@_Bl)ncUEn(CmrAUpocMI|D0C5{>taUyfwl3=m2*k(oJY{s+-XrKJ798Hic zMa3X6(956cbw$u5>v4~3KXtFc@+)g%ar(12`1#-T!AnfC$C^ADjMu;v<)^e@mZ5NC zX1jS-_^C6o1&twkUfM$MJ?UJDucw0&)V~>wQ)lwYl@^o;@S~JaXeiWaEO#HIBGlX{ z2m>QmqtAbNBQxHa&oEQgQ13DYn!lW-%}w6}hb)ro^O2zgyY4OW^YUs2RSv>WdQ_QgFr3S9rXLzEd=%%5++}MGH*8n3Dy@GwChv)aVPQ2}=Hjdv zqs_4~Z(iOwm7?#Absp3;Y8EYrR-5CMxlarm$w;D1w`G!tzs_mk;ER0@WwnF%;%b`& zuCGF~mINz`8bB1<7WtOLEkM&9 z{S-$*&be{XK(lJGr&lf-2O-#YN<0Rvk1h+uSRGN+>P-d!nP33%`#7_MHLFvzs_s43q99aTa!_X85Z|PaLf!XOqYwne9 z`O>q_Y!34WW6FW6Bd+xh`!D1>eB_=5q2%=Rsn=LqlI|J780kwC;Iq>FL5%EYvyM%2HB$#PiW!*o@U)Xu*Zssw%PiDJ zwyxG<*((>vp~}PGx4H3?y1FM!0QSEZ<-Dx9te6nv&Ep+)w)Z0hGSkRcME?496WLJa z5@H@!U?y!VsFeL?zV?tlDXDL$R!zS_2ra%ys!BOC8m(LCPC`F-@pd-(yD?$H?}MFG936_9+^IGH+i9X{QVG*lyngQ zq|RG4&%f8ly%(8Mt`O_x2S-`gn65sv-%pEt$34ZE1Lsc!1--=H+ef5Zt!&Jk6unwxK(2<=lP6HHq>%&4#qR;g8^}l`{QEw|I@*$t zGsoI76$zjIDKl|te{vxO+-vQACA-wL3uX~msCK&X=u2nX8dG>wRmptKe8o@TvW?G( z$hrspOYwx9(9;LMp0;y^u|vpEh#(KIEd1UaKqM{%W%f}X*afH*!0wD=wScY)Gx20v z`c{r36GfDEr)9roV$}j85hWb%%Y=XK#%>GV2`v2T6iMM(ltNH~RX4^I{>$<;xh04h z_n?0sNXUt;_Ki*8zm(q>qOF?oGNyn_D-PZaYI1YHoK)Zl0=x5qq9V)$@btESWckzd zh2+pW?Na^cbqk8B1{Y~03vQgBP>7mRY=@|*D%lQ!Zy)lEV>J+med{f4DJuV_`&woz zQ8|8Gv(&9y=2VezbQDATzHx`kn@(vly>0s=LoT71Qx4PYyQ|#F+OnwLWxZ}flp?}9 z)@^L(Xq&llMtCkQFu$Y3@$A2hJ`p^%`S*3EWs<|0aYJEScb}3Q@4Tn)!hMrTk4fES zPuAxG!2AwFp0>UYE_v!%t>krvM_zrFOsGxU+O>XZ^ep>7+KNrze_(o*Q4f#9l5Hb?O*pY6Ww)Gw{#i_?Z@AJWhBK&wz9ReTltyO? zAOt;+Y3zUW)q}rzXKsO0n)diSeb5BFc2F^S#P@R(8F~=U^Wv^Cw?ysAJzgjPVLS@J4tLZ{1Dbcn2q_668BbiKUyd#-tNTYMnm#o42IC|-VwQzaR?3x$klS|y z@5&%9>k|BeD4{vnxj+B+_(~^X`6tfM`ZLW{NhT<-Iq)hyb0M5p{!f3_u2Dj0qed;q zYGgh)g?OK1s2^Pt4o(N}u{xbtF=QVh&6oT*4x-V7T@{<%*y~i@_?}>GN>+@ES z$LOpWci(2S6AbsELcV(7Ua|N5=FuuZ@;f%P>`TVSPV^{O?R7w|_8?qQy(YjuUrfJ- z3z0KWe?59|6;?-#EVI1W+rZKxVT2L5+WXJUNHHQ&~fu6&5k}XKioAF3i|5 zla{Ua^*RH8@fZNs5X+6j%ZBNRx!b!Kv?AiOMy2Yw;o7n|OkwEgBa603Ib{Y#_8er? zhr*_&A3kb0?rm4{<5$F1@GIeH4U~b+PY{>*0Y*S zJB3kNZ!uU<=eW=~hS5g<7{Mpb$U^Q{#{X#(C#IzD2UdV;3C znn8+r!F9Td3c&+STE~}3lT=Ph9z$m!FQbV;j(Mg=UI7c{7ByE?Cb3C4FFu3pXM~ui z2T>V0GQc4%d*t)mwZJnEmdIyM4x2PxQo*sI5H2JQm6A>6ih8)b)K*Wf2N6sazCf$K zvnr>W`+3$9HPRwSV>V!_UyW8M@z^VgY2}x>iU{}i=(cujRJX?1i$Ir2vC&Ol{VMD( z4JY)y{Z?hb6#6!6S!}F@3(CQ}71B~(a|AU<;J3#Yahzr|JNVFadh5l!2(~!^(}$*l zOYyA1l>Eqr1AfyfavpJ<>~GYR*)!RH%=_j))$3tUE#ar8>g|^Ev=`hrpLSL#wWv78 zDTdRYjS?miV2QfIDqkp_C>fRWWDr2%p;UD;*{X4&?|tu;sr0qWEPM=d&EjDXLOkB zb81r53!`{qc{(D*bv#CotX{#Jr@ISaV3J>O-lCsa#RD=lQyAy*PJihl=iXgU?jrW? z#B}+>Nkl9=165pA6XxE2ZF+jKzdr794o#|Jnu%s+09JKKi!^GE0yDAiq?DQ;z4HyX zy2V+MA}da1-5OR-XSoEJX^+M5WO|2SvqD3Fi#2lU$88gWI}SS^&atrmyz)+T zO$qY6m^#9o+XQDVBl%ZbviV@-_5EbZH=DW{Ic2&}H|!IRg@2b9#p|W+TG}0oN zh#bfKee`4(uaB?UT3Ucdxmq@h@Wv$6u|O}>F|nReAt3W&EYC%|q|${vCL_q``jL$< zq2Q61Ki8zRy(57crt-WOi)Vs*0r@ZRcxrYLg!(h0m+Pxns|SvNeKU-D}p&R0QS7*+lb>-)UB#w%XGhF?O$}jVgCv2NbTL z3e(Op7DY$98Sb~hxd_38ZS%gcZ*#1FVK|0|(@yS&q?RHloWvYE23oxh&ag*n#YA&e z2Z3N@Q_;hR;I9J(N^4KV#s**QG4YS2-k(uAV$&a7P_E7u)gg%=Q>N%Ow`yBO-H6wo z@%7yrvCOEG{jRBMZ>WI*_(vu>=dlo-gG<-saC+HW zYPoF_B|qav^T&}7^dCRgAy7CptRgcR&3_vH8P3Ra6gOA=np-n7+_Kjq#HLli=rB_j zF0@{r&zN_`;q_g8#y4$6J|@~Xc-YTf-sCmsScU~p79~h@_r{eNQ)-#|8*efXk&A`1 zDTW*ARn@RdfQp>5pY*C@j?cxyPA@AMTdrEBcfq|LPEiOLRWVGZNU^RfkL5^HYAy^V z(y{7(NJXZaI7LNH3WOORsU4Oh^s;(-esz0yY<`u-#XwF0KWPX=1QK&d}CI*Sz5 zV&8XUX@Vc;>^*7t?I-=h!4;D!7N%0WZlzb%0Jchh&@aWS`$_*5I|%Aj*8l}k;j)(? zshNObIv4{sR=wzaX^aC0gfr3hGQPhgJxCf4SJJD-;*?Y{YP}4BXSGOi;H}~s!ud9Y z#5Nglzw~a%qI+Q_oB_HalYZDEC9*DPHsPBjdoe+QIAE`X?g7B>Dpi?^LGAW)@n>|rIfiTEk+RRn$S^#_`@KJp00KYtITj8V!@cm|Oy z^#twvjN=RS#DX!Jn@^JGReecWuZ=~czD0^{a}2kU7iU2ki)Lwpl-U1JpCbV=T-Oy8 z#;PKE!JbO`DLgG>-6>+msyuo{ampfw%0BNrZcSq~RnJh#Sh}h{?~)fv9i-xzs``Gt zP;zP+p^`E5FPsEmn+n(#!w7N~y-;c|{b*mPfBWGwSM>=SvciXy^v?^4Q-%OITE;@t zphkKIL6F4NGNwaK@e)%vf$igpqq-*#85&IT6Pq?ZBBh9$`pXNcwMj;DcO+#-ea4yq z5ZwQ0TyGW=>n=>;K;jIHa6dmJNxaTtGddxv^^PyJ?r}HNY|L!age~@P z2+!4@E0?ao_QKNw4QR?iua_3*I-yBb{|sZwS!Ac{qjuHxWYm@>kXFcd6?)K9Sd8~O zoC|FdM9~m0R)RN@1jaiF9k$V?uc9)XXI>fze(uZ~9J}rGL>DBaiv(T7YEo&=PAzpt zVH*x=Z*S~1^^k-LBBMRDvkOR@Q&~hrKK6~z3gE*glZ{8{0eK<y5nwso5IR-pqwvYW6*vuOl!s$IBz{QoH0ISlfM+2w_YqrMdgOTwEoZ>5<0c0 z`U=WX{wwxhsZk&$4Q)nxqoxHpOrez}d3s)DN_NAc#rrP8_>8=r8R+97Z5P-KQ9{Wy zoTn%oL;a3){dUeg%XrP~Y8gz>tZrw6qh^dgL=+2~R_xPjoEEtdw%Xd>R zQfikj+L>Ofb`q5+x{;tV_r7j*yO!N~GZ(&ZTIJ5LJ{Wlc-OAl@Oly!=Dvem*daeA< z`%Q`Bn4Ui#VZe+;0f3z^aT(QAfo1DvdN1h~(RD59_S_m+?wc69mM87z6E^r$)9WTY z{2jwtDLs<9Cg$5$E8cncG%YX5Drnt3=muAGN#LyXC0VIzkhkP6J3n{LxQtH)*|69b z$p(R4IoQui|E4P@jFqT7fJcu7{>U{lWiQ;>~LEZ9vG?4~*__)jmfzrE1bKY_{T2jg^nppDctN>|53Pkou^x43M%Vg7u(lxT;1T7sJD-bg`BRm6&e4%Oe$8CSJKJ*ZXa~i+SJix+Hk* zO{<)Du0C7Qn-%Eh@D2Gy2^JTaXbF~E0FIh0_nr1kdrqAlqL6u0=kLgE*k}$h-t|CA z0H=I4%>8<=tg!zEQgIZ=6|Fww7x zMHOJ9S!B=E;a|RbnAV9{;uQZmuC2%LSs+QBhU5;u>rf$7)Fk_GH@U^yq`0^v9o*o&+~{v2$w}pQsqe8}8%h z*A&}5-_j_tX7`WH&`}lDcdqGU$@=ep_%O)l7(A49%B`^Q>rBDM?%VD)l*+hVb|W_Z zLMhN+M24zPTVOPl0MG?gwHiCKavqO4doToeFJ$S^5b*v07$goY8k-HTxk+!WPblPP z$LlTKDsvF%-4iq&IwpTk3?2=_98PAry85V{T^#ETN4hXesB)*leZxt2cpJ9vsCIjg z%rDL8T4%BA`$Hyy45i6mUF1zkFxhBp0tHZp>ui1U{A!lO>+$d5wO8}&F@0mATpdSA zas#-r_JE~8IOyNBN8V%-j-4>-QmwF^<%`u7wxR_SVf!fQtH`a$mm*E(Y&ss!9u0m9 zje6GnsR%9FrOVMn;;lLgT(S)AM`o?*v#K5qT2`&z3bx?i!rt;LuG3pb`{z&`@7IAj2;Hw3CV2 zczN^ty8=HoR?dI0yaMtw$}Rg65qASnnwj zWs`WOXV{b)Pg$9BvldNj2AHf_Fp^dfZaV|J>ic)dKGu$|(Pi5WD)74j+Rt`b7k?h? zx9;LY)~oZ6S7ye}1WK7}PuOl7juCN2-s>og@&=~6`)8$ObbjMo6#LGV_vaQR_oo{Z z<3NLq`JG|eT{)l+bLAY3ZdJ&`-eZ>$k%IMFTXYuSQVzERfyEnyi1|w z9gA2xNc3gUNZt}?y&qjE0Yo}sM?;$|$8Z$rio4Ls%VvL`&iR$EJ?Z<$QtqUe!I-#$ z&+jE1tWg`RBECBNT_KQgE^xOf;WOomwdfJEfNCPlMVh!V77*rF!uQ}PqP}kDsqXjT zoHJ3dlp4}&xo_jR{mYWbr6>tC@hLO%edP7MQ=cpsbzK8;PraNvD$ry7yL6hDGSt@o z%ZVqY`%k~*RQm~r@2 z-<)ZQw(*1~7BT}QYXH26J3iAB3H`GPxxZe=Us!o4{HUDEm3@`H9!PH5?1k=kX>9Q& zriiB$+3vn!lJf8{!dg;bI&ueE&VnlBj1D2)!hbbtH_w&Xl%>v{yw7xl3FADdo7suK z^=)v_*nXh4iJJ)?3l@afg@FVL(Ef8Hj32y-4+PMEPK+N9k z^bA-djyqT3=lfg^FLUo|maeB3!yu2cF>&WHnsHKDpfm&98o%2HWIzQ>9ZCmD$r83xL^WCX!7HbC?C$Vn}O24h-DC$Be@bjC$-%uX4R(-RD~8ac`XgWxHFR(hoQDigQn- zb1|2Q!YUSSp5+)a)yry8>^4NtV+3{Xs`s^}jdAl?XDvV_SchxNwf(Mw>OP>GSR|7q z(`DwZ9v>jDy~hkKT9wX6YzMeC>Y&@$5M5Q;Xw&OD_`BwSr-*-Ye+M5_yy`0$?enEQoj+BM; z<%Ew9iCNaf+RX7Y={wH%Z2!3pF=A32ybW*5o2v|6V{Xxk5|e7&w3F?-n7vnq z$PZIeub`XzPu4Sb_p0|F{NMc{3#E!8SS&wseNbW&$U2y8(r9wMeiTFX@c8a$jLw#(BqGqwMG(5OeJ(z!ge?McRtMll3zR|sr93lWP%YJNHPE0+r zoT|~0ZW?fH`flfZb~xjD&E|fyQC6lsbIebi zcYPnPF*DLIm|kxA%k8_#!XWzN^VNyz$IYf9Cy|gZ4PrghYAW{^yq3xcYV#*daC*11 zC~`#>Yh1pKUly*SBSaE_!$|@?f=`DR@vgI0zuPp$R&wRuus!Y1`+mFqv(Uuf&?IkG zB_*+bSJC=|$i}zlzIGq;$jsc$sJO?GWaS$L2R{i6#cLP5BBok19j}qDA{hqJbf(bN zfYkXagFK`?`YqJx@FJ_C`Nq)+mId|95s4ZDR3e)_n-&MVs{NWvV2==OFTa>i2ex(A zjh2R?COQ_a^>^a!Ol)=h_4WPx$I;fbl~(ub&)dfSq6<#FPUA-|nlz8H2fsY-^ICl# zw-#3Xd2b&VT9=z=cUJUTUC$rB-aK3;8xZI)TUQ}(SZ#fjxKFU~D>V$nuGn~0k&E2OVH+AUnW%rJ4rKQFaDA6$8u1~|=!N-f0_ zOnW>$h$%eWL!9?cIj!h5^Ar1hNBj27`y1Hht$BQkSSv5Tvbj1*TRB-l2i*BfE}v$T zex5F6a&Vt5EI5mp3d}jrA3mOI+^_lQ?dN&4<}c+QJMs~g0l|ABDwP&q9(l!yWm^fZ zH5gyz@EFX{-S^X*V(vYh5%h@5wyAYS9@l9Co~y<7(5>iHD>?UE`7N2PdR5I`o^0;! zLz-T%z+0{I^f=n|EIC!|*|9p-rXjd>#iR%uKawsGF>p&?;|BQ!0Y`S*H>h{u{N1~+ zkcI4fb`HzAX38{p`?(eo%CM^LP->e(WNAPDYxbO2G_JM5e-0LxrUfE80*fBWkWm1dJZgmPDwX%3NdTA{BfCg|hG|IlcQm2D2Z45K+*iUe%?s>a*Y6{`X}(?6Rv1jK4Lw99lN zPvl+Get)Bp>{|Y$9@hZ&;~~(CX|Q*TB>L4AyDUAw{p(;Xzb@7n(&tje=sye%bE$TGtsLx7L$eXereUk( z6JLK9(RBW1P;RLe_{tQv0$%7$4docn?vEwn>s8aJJkZnPzi34(ZQ;G0s(mD~ZE9N5 z`T5u+$lSjjcR6=)y<%o)E~B8}|334}xb0NJWa2`rGx37vZRc*|aZ%&dc>rqwExTk# za`80k$l87H=wbHV%%2Qgi&&A=%%hp!9`t~V&4MZ)2bu?Wz+TWjnn`r!>+nOzh5aVk;Q93?2og-6PdWns* zM+(pP*L4xk_d`Re3stFGKAa>K4b{9~;nQ7rQrwjlnBLxf!z9AXg;WkLWNu2;t3g!T z)z-h>v774{036<5zx?mX(KzA!D4_Gb%GTT|ec4WD9_!O%y{-A>Y^(INm3}b^9#iRZ z?lmV7v>y1efs@6=z0yTmdeufp?o{&Ahc3;QJG-^ne?8_fL0Zt32QxVAV>L+N<)qsQL!A&M-W$hRKY<}J^e`$b5MQW{4VQcDq{rV%za(_gWD5&}7R zWMUsz)pVc`YJdV6sQ{a?AQTL;I1yZI{Zbntv6sl7b3qOcoUY4YQ2fy5>V-YJl%2Ne#13gabkvF+$I6%!fqk(dG)X;;T9GRX0Ak(fdlY1i*U zaWB}Bc_ujaLc7jkbP&`C0o6RCM34THr1NK>=b?)S$YH#7XEH_rS5eCKD8 z)%p7+v%=gr{j`6p@#KwgI$gyUR!`zjxN4`BcQjE%m#0(%20pxKZ>ctBBkXcq6LRtT+t%?C@J}u zQ;B_|(xD<+1tZ&q@4%W}V$wjU5dlb5VoxTD#^&Oifpx5)MUlXgSD-B!ZlINI%Al3F zbgbboa~F}D^^g7FfdAKiod33q@E^PWn#F|vU-qXA3OT{mgIfE2e)_e+jAgn>%Ofc{^eJVeUaQIm=0+b!i*(((by9I_6thhMbNTnFFTB54A+B1Pg*SW21u zMe~T)WpU}MT&Ph+sD+dQMF=)~A!5AWU}f3|icCp{L(;;&rxxDA@Tq#uW&|NE6dI(+ z-Ie(k z7BJ8G=Dy+_7QL>M(@9SH0*fL?^xWzx_Ojo@x#L5%&?@lR53_K zT2P(U$m_UMX0>bg15qKJ356Cdw>Qs%Xnvucf{1Gip?HAI`ij1ZX~Y+aE93lU3l>&l zAMn+VRSi$<|1W6HK(?ZL^IOnU|fZ$SN)aP#X-pWx1|g|YeaiqGyW@W z5j&F9K8pKul#RiE3UlR+yz%96_?;sW!gnBG^2Z2i_)cIhricd%yu8ZNuJsH#xxX*# zPbdtFm2^pxnm;v_I25A-i=A>wq6k8r00cI`$aNsl_E8V4%q5bi4^{m{kp-e8^gmn% zAbyNXQs^tbf_tbW2SN6dBEb$McA);36bUea`v1u!V2%XO*YB$GnJiQyoR3SM*F0w? z1J*y-?pN`Pkv%*P((K;HyFs(F$6rz|W<;<#ijjUD3HZ|VG~AJZ+RXHujj zvtMn1wLAcbnig)X%qmPH*|$j-;1paAgDF5fRHR+%88X(N8w;rH3$$b8+7kesZicD$ z)i4E7_AUZ^LMAcrKWwk!yt%Rdmy6X5bLMjw7hcK*iJf@@dt^u`=-cQg3MNzs(1EWu zv_Yj^xdtPmOl*cJ$ntj)J$QuMvg?Fl_#46hZ&UrdAplGV;-L;N!-)^(2J;_9Ps3`? zbKrs3wEG-)`E+A87$&`Bj?eb9vEXl^LCivHAZ?I4wxZ;6hhAz3B0um)TjFG5W z_5{emAn2Fm?#_e)`~Q#Q!LdO6P3JN6^)KU+5dzEff$JhD>Qy`_>Se3;*L>Lj_@Cxc zVgnf@UiO9mZvz-K{{)8o{{#l${W3@OZvuG^S{3JNQcFf?!Lo!6y4%L@|97#2m`tow z17^jX;@CTliiFOe6gYE>M<{c^g@~H#Qo!>id==+F;@!OfkHSGQd*sU^<_!x05evp_ zC759uHK8H&!H^50mth@&8Gs-q2Zu-=iMatNR$xMO{F~!pLm(j-ah#~_!oU>W?g|n3 z?@ETpi0Jum?zVH$#DTCg2Wt$5#DKo{gM7g?SivzH6T~$q#QML>BJ3bE2y1XAKsDMG z!Vs&8N(fj?X&=tn|;+aK=em+g;lFbtT`KqAq;$kSU43L@5< z8}xFp5w|8K1i1Yf5q;VIc#%T}es*`Drr1)2gbjfqEdjv*ylj$i88IO$-VK4F2m%!s zQBWIc`yW63|D1zP1_S=Z$zo6#@u0fDk?=p~!46uWYTLgEQHK3%@f*oV2&{!73mf8hhlG$3`|B|y zKpXDG$bWY)ps=nNVNA*(c>kYSXg1b8;bwt6Jsptad zs8T-`!t>v)3zH_*e?>2#272!$vA=9X{;w7_sIi-MqbaTVU;&lXT!|nKnHjQN{2BAx zdA6^HBmP%RN!642U)N+E;==Vc8&T-GD^0(^oX!Su5zRNzjtEb+;-u4zK)J)qcMQ;l zbEuGe_R9a78(S8$iEEs!<(){*)hICCBL{M@dy@(=+Kg!CEkB>c>wcO@1xxl+tG#!V z!Hl0CB6yG+_8Um*bzkjBN@iP#nadmEG`Z>@C^?x?hJPQaewo?XVl8LFdr^}#d5uq^ zg05D>@qrv|3D1hj$>8(aBx<4HpJ4~E-lYAV-%6HVJ6flPr2Yh20?6t1BzcStr@$-Oo=34<0oVdj z~?9Ia=9nIMwEgH?hSw2n;gOQG-&N zDbYQCM@ra}OsDfzmhUD)cbg;AN2O!m$OCn4Th}zc8(`e%q zItL8K=|usAL2-i;L(eJX1A~S;{|Zq!yfjWIv7#u_Skw+h7m^~pDYL3rR93n~*%U}v z?Vv6CF5_&L7(&6zjyu)7MzVq^8v10;Ctq(XRP?KuuntupIWd6}1yIerMq5mDo*|)n zA$vfcAf8_(98rjeCSYW6K-3Xs0tL_*NTpGy0Df08Oh`tkwYZNJ{Bfl=ws;89(uH{j z{p9`F=mvANp&YZ?7oBhmf+{NzalTqKu@kiiY#LID{#OSt-M->IR=kM=CqkyJOIeo4 zS1XB%4;fYXMcX~7jbw1z8HK?wOe&4-F=LCq`8Dw$D7Z>`)8x6ZA^opWVu@h@&HiV} zZ^X#H9lGf6IiNTZyF)8QaUP&G06Hx769M+m{wY|+tzq^;PAX5;jpgA})@n-~1C-n4 zGw4lQ;v|E&?*#@Pa#sb=YvG&i;hNq+|NI;z`^g!8Jo^1zM)^{2Y6UgoG#KBIT)kkv=pYTp2qS(|JbXH1bfoDw7R$hAW&L)!0%3)_rXP$o727W5od05|y- zipdEjT2*KIQVDN*j_Z|w*eif~MAlYQKW8Noye#ECEHjW;L)GnM%AY0fPnP5DXu2W$ zDkTwbpq~XMHhRR8nBJTHT$r1{KLdMjDDXF4d!kEW3W|s-!QN0$p&=JLNo=(Ng0%8T zsDAL;&@V-Ke=>R@9(E{z!Jqxy5bRdL1u|Ct%NVTm!niv0OBQ4-h;cN9QG+qt&b4PN zk;#=FPkg1y3aTFLXYp10F%mkf*=1|WUjh!RBn9MDM={&(wr4{zpc_wAT4+NU84B4l z#_t%AG~*1%=}x?@AOy8h?hPT72n4eOM#M;hoWD~5u^x+&d z1rzY0b9Lp8(2QWxpsa3PaWkSzTymD0>8@?Kdrb;7KTZGK+3IvMI{Kckq+mO zOI3=19c#83yX2qQNTMe6bSQ?9l9qDzvO5v0$-9X(eS40S4V5RKAfFg%i&&xt6DaWsfi>5;s&&T+@FBS-S4hu+xb=C1Bf7_5Y9{903ndIyraPSC~l@M zNcN?O-N!sB04^g$Se2suyjRJc{?pQrD*cLw+zB|+0GIk95$G{7vZ6JG?yIc3o(}c* zpNE3)s8}aL3HYqfA!1O4Wht1?C4`_2O!o<~O)FF+^9k9%O{!w0PLl#$5^;>xSaGl6fv=afe^}{~oA6 zR{1?n#fP9s_su`cygJRC6#L^ESzyze==+#4l>C-WR){YdXnk_3Ys9g9`nGs7{j8NX zbDx?v?iy`WL}D7%@pSXFL@^Yg9DJ*>;{Mpc&7*@BumC%{bH8zG9PQZuBTJ^m#6jcR zYX=R$8h|NDZZAb0VZ|8N0&nc5{)NH^4ZsGpLr*L z#_C?wPTiU`4>agCA$2mGG!iDpxTbd?ee^)}n+8s6c~+G^rp zx)?qD00@9zqM<%tGc2!MoP)ZKcZmL`d2*2voyFoT{CIm-I*4ZUJx6Ld*LN4Ao!Zcy zEvV^nj<}=_US(>70FH?`1xs&%SyqGz|L|k%-D#~t6Y8*{NWQINqVaoSV~38T`@tff1fjBtOFmD2061GJ&3wN%g!^5WUzJ%l>L^NxRw9$) z!&qUm^=|5(SDs!YMJ-;ta?Zf=|s1B z;$cce=cXr8j*P~Xki;;#{c*~c87Ud|XBmI;6_$m9`>^HnlRU{8p z+Cb|2)}-i1>l6d41N6)T=Q^ugoh8SX$T#dpRn}i8TA)VR^7>Ux7I___c4_Jz zy*>GoCX|kSCid550nW(zqmJ+%rqV12U!8ngmeX9{i!~KFR;dR}^y**?8Rj#aGLR=< zwzN1YG^6)6=`ribRFChIHjlUXx7@7RZ2!`2vdGvk!JCIv{w+4XvY@!ybl=MV25tfP z_0v(XoZ)+ENV3jVe0C=*79nxP<^qrq(RzQi5M9oL{OQ5l@(5Z$PO*@Hg!om6w}S-gL(H0-9*aC zejg)uwif5J|Eh%1nb44*7yjyH~t*wzwxL2fmDba*vh4@o`;^UFeHOzBe(5dlsqx0p` zm#F>QFAGa_0@W!*7nc8pP zKNo$s(5PI=Kibn>YS!f>i1cPl{ZUy;rnorW6BHhl7D+@(PE*p}g`jV2oM~ok>`y_V z!s=WFKIV=lkq75!`>w8L?J2-75PGa_PlWo*>IGmxYi0rc<7+JxOC?0YY(pYfJplpa zP-v+3GwV;MRk%RH`UE2A&0WaxT24U;F1A;nc%9)LRM_*;)1LXDXu}F1WVN-4e?Hs8 zBWOXt9tuOTgs_K`Hx)cU7pH(`@T@A0l)JK=H$LdU!3#6C4xVwmO_Y3EZ>v5(Ftz4g$+f z!Rg8+Hz9Yz0yp1O9NWp&rey>3PD>8=DB%8Xj5OXoA@h4$fvb&JJ&_ zEOo5-o_%D8tU~3U=sRcU!iA=K7MBTVsqSo&(O)f+B+`u`w)OP&^|g;6Ld-*ZT8oo+ zA->*Kn8U3yPvy#*v(u=nQoTKB8Ahgn5ey4!Wi=ER|C?XW@58;lZd=c90?K2;g5G)_ zoHz=M>!p@AL7}%qG=Dd0SO{AIpso{BH-N-&QmA_VSr?!R-cxvUL zqw$H*!Kh-bxjSJ^;tfu2f(*kMN(~))dK2HeRRp|EifuquY@h!9P+klx*xS{212~c_ zm>A#MxpR38ZMLemMQq*bNwX4BeA;NO4ZY3oghNAvJGLc}dlIySP{@mLdI#6|Xb}vF za=}?EPr~FGvHlB^x)#kAaEeIx*PoWF+btsm=M?5dpbZ^rm89?C)phn6T(~J<=oTnDr1NCZbwkGb z2>(z3zGU(=TlE}hi@+{L-Rt$;ty$g+>_@=O zJ}Ln}XOE3Wt)2lTk_icWv}aF}e(tBWdt^u*&*#3?&c(A0o`;RoSLdU99@_LM@}_8Q z0(5SS$Uf1V?A0+24Np|iHo@jUudgs1DynecRO4nhx|7v^lZR6p9^I^9a zIBnIn5zHVOL$G#fpAMuM%i^qf%>0Nj6KiebZ4+my@WBrV9&wmk%Rk4$bR<`sLVrdL z;LYq>dlOUhnswk)J5GnjXmp(G?vLqj-L+=rc+Hx*d(E8nxpXC7{SR1~jUH~Ad^|Yq z?&TWanQLs~R^Mi%jvLQijig8-HSD67xno*8J?0i0wIhEIzE?YBJ7vygcU)1UsA8A#r)T{{ zg0q9d&^-B$^J^!q^yY>~wFpT3O7S%`f+{lO1-C5d)h#5SzC2E8Yj zw`m_APq;7qt{rh#amjT3l{iX%PCuo$;%k=*tV+7F8{0G&RY&qMo?w#u z@Gchvj;0!)1h2^lXnHY8kARs()O>}S^QmOQUaXrltN3%i=!L7mCaKG6hnCO3^5UF| zm^yz5bso;QoIqKy$@)y89w;vIO{YaOmZNiL00|WEpq&0fZlyar!%HZ8=LdaWzVQ+LH32Jh^U zq`SP6)1_clJO4&pJxSb#u*b00gJ@*$s5oTEo@q@Xqn2H^=rA|S9lQKvO3aw>*v^Io z6X5gpbF&lDA0xZp)J%w&HMQOnLU@Cx2KlD0tbEK7Rr15y=ZeCwRvo1}@*V|4A$) zlDEs;?zyz1;BMeB{Mf3aRT$^)Z;ci+&hL_&3Q^@%}eWM*0FT?a(=8z<`)mvo2O(qm&hPkg_ueRsZbnN)O&LRj|jS1 zkDogmZ|N^L?ryc%M&9x9lvb@XVLBLmI(FdZ7ORwl4X^bm4L20khqxvizbcP=^Ob>^ zNL-{$-y-#DaOEcr3PU&Syq?sYfW>b&Km`YxhTwB}%;2rPbP$)84D($T)u$Sr;8J&) zW~!Ujc3~w|N&eVAUHotTDZk)wmZjjNL=wM6o9^Z7g}iM%>rv!|iTf-S#7T^YW0mM_ zsNuJn1LS|FT)fBjZvLQ`{q}Zv=Z2wiS*QQA_9GGX5PRb*ExampD!AWBDL)6ZC;-eV z=K<3ANAH8D$-EtT&hIQAw|qB5`HHml=?|eB$aTt7pCQHYUA`3&yS$b7@CErxRP=Ta zyKgOYOF7=BENvxrBD+)pCkY&Tny0-1RvV{H(X7v^#;>iC4{L&8<%AFSBzs3kl*XE( zOV&^f-}j__XU9CPLN$Baj&oAlX|fLZLDU-<6>746(fsHsw$n1=(TeO|7yStt#T4;S zEG1X-TBCTeNZ4ir!<8rF-Gk85YN@0w`A-`%5`&;2G<6@^EI+we>`GpLaRjE0q|ezi z&@SKQKcAFRJ!iUze;@rrhlBvv_{nKA$RNZa0>awh6nx19h9~ExaA`MdXEwtbV4~26 z@VgFG$y*>lw= zpTZzlYPl*h2HIfVj}&aY(g&hs;wY^-tGf($rLm(HZ1*tL0yNvwg)nv{CoRlQWHo)* zhSengJ#zSd+gC*w7=ebb$gMsx0$M+okxd1Yb6T>jSTAPnvwCgFnLk<^ZCJ;0ejvZ1 zM5UzPF1?_Azm2{hg3>yCT>uSZe4*jb?r^6Vr)Rg)93ksM&0}N{Ik*9P4v7C@mQ=ru zbx!9K(2XhixL_N`n#=#&Z}G%Lz$or>W|x;&T>2%&6*)1NmTg;S#l_&GJ+N{`i?W!% zggN%h5_1ty?oh}}L+#jc$CIyncByQ7 z&&Uq;qJtLvS49D2$dnss55Vr**R{bPYs|jm9Qvefiply~2dcwkEJ=T}{Z7Qnjr8ot zq5R~GpiX&#vfSQe_?>l#c6FivtNbI}q z*stE;-}&c*R|HFubJlCKLY#FKR{K%!_&DWxbyR_c_k}0BUbzHla&-WLHLYo^>9Htp z+?VVDkyv6fI7NI7; zI=P<|T$e0a(|KKQebWHk=AkL3L?dkGnmfW0{Zl+t-cAq(A{W|IO$i)OFpR|o*Zv+_ zJIvx#AuoV?%_NOc<^C05)yZ$aW)#mCI{$M+IHA5bQiXSzXgo&mI46hwT}S<6ErVUH z{kuhs)8x(oVL#4k2*}1%FDC)EO*8v5n1!O@F*Npz;-9|VB0ST8xk>+aiQ1XRPm-(| zWa!8FLaAazE6b%?O=Ae!x(_p7a$bLI__!2VE<{IN#K%=)^J>L{-3~jG@nhLW8q|Sd zXQu3eFoGQTI-8jpjG(?#H31{Xnq)tmn4hIeBPI|DHt3<M_>qUE);0h zw~+Jek=r##7A@or1OA}FvY!5QllV-!tkF?i@ie897%Q*lqTwCMgqwTBk~88v_JQ}c zS+XLFAKH3LHAby06(6og;SyQB;oT9fz4FI4LQ+$k))b6yo&7~kEFY@E7P;RhO$C~r zc657HM6WDzY-zD-$AqirNvW3SE9_Jl))33N|29S6PY+LR2m$IA8?nu%Mh?(@T zam&?q655j&ZQOu9Y?vQt0+SBCQ{8d7a>mVjS1mh1E*@t=fi0W1@m$?M43IZV-cOF| z+bP5n93~hiihHb5-um#PvYz&@W$Rs$y=wQ;XE&%M!-g9ikTutcZo_Evh~WCgo|Rq3 z&3g6Q?#K6L3luA15l{4zJ`6yZC7(8&Bqh4H+41OlB;i}&E;%d_b+;t+OYF?$;6N=?Qn< zj90$f%+U83RPv&WxaNnlaMUy_`UCj;3eOrzlrtX#{g%QD+*id00^n~*D!Vi>s7 z0?t)K*Zw#Mb0XHK6KJuj!o>JAxC$TmCj<-8&OSN->A!5R=2S#E7^)8)4b*(nhDYJL z`GljDY*PDHA9PYy7dpM)z}|)OJYR}A)5RUjdL)kX!mbc`@TWcTs4x9OnIHwIG2+oD zIWi<6$`7kYXVK+sr|sKrT{`{v2Dn;@MtR0rv?CRE(JA~b`#byD2)zqf*$fV{#d*T% zU`k|xt#{iYGy3~0kA{dowO25FshQ_i(J1!?N~MRrAG;B%XpwhlYloNW<>(PKELf*s4RX$@`@65%aA`FjG=$_RVm5d(*mA;e$>q?j>pvXN-t{ z>vS)dlMEEcDa?HuoWyJGgwJNM?;uZ`IwSu9G-yQvoo~HA)fWnKU%%p9*j~UcA6+6f zowoj+xpbLY`pOYP8J9vUh(z%^0NDDb_0&?8la8)ul+P$QZF$T=c(%ItQ*?G)3U!DIy2>7YdNwx~$rv&wW9aa`w&9_A(~ zfb#VZ(@zFZ`+c zd2vOPc$K_=y}G>*d~&vVbBwI+pn6i?RpU7|_$iV2qCeHMOs{CVmc4L?WpL=0R9wG* zS=EDp7yivz@*>x-0*-6Ex?->O2;YjiTHr(cBP1q$L`}~u)%L388rLwTxtGHZQX%Dx0GNat+*_0R_po9=845~;xyMk7KGkCp+Gc0 zjL+x#0$tLp)G4BEL;rrkYZ6zlO0$H@;eEyA6_2Mo-6IN;|e? z@vxZF)w+FM_Wimdy3smiRRtfzvz;7gk}`82IhMA$?FOn3O5?kDEMoEaYll6t$)Dmf zgZSj342Y0bX;JV@q1y@Uv5&xX9?dG^BibIWf48N?ts}*wAfyA9nq!W@2x@RJmg&_` zbm}xqvIa9|aisv~ky@J}WBLxWcFNSzr`}O&l)aWJdnNMWsc;tprNuRZ?Bjc*fe*{t zsE6(NmUp2d9d!NKxT3gHC1XNAGBEdc7v9*yh6mJ(Mk#rJrb;rUm?{O>+;C#wDh|lf zcl6+~66kEGRoyYI7r);^a7}y~XS8QVyT_Pu3DQW(y~vjxm#e8TzfB3xea~<}@b$Z? zRiXaM@MhmM$B(m-3Ac4cA!ytp{(8%AiZ0y5Ot zRCWKvCINL}Km`f@8n18+ETs#}a7;#TO~w^Bi%Iv8AofbI%krYj$o82B{EWwCDtqrz zLvSbmsD8j<&&mKZyJ1N)`n`_wVtM+pN)NY$dE@Nl%e?$nX&|jxJbM&VOxlj<&H}sB zuCU;VGftUY0j<1_u4X6AU}JaAlpD>@dY)3`Z*9T~uMK|?w*|I90}8zgF8fQ4W+Twk zo6yQV$`J!bZ@DIsBc#R;OxH6^GqG?b_Wj{M`At#&9{^E6uD>XKH_dlHY3)iCsSEK6 zHXrV!^HsCo2~?pUnS!83k|L^%NR*tQ<$Pn5ca&wjT?Uyrij^h2b`GbD0%cz?)pL0Q zgOlC2EfA|}9?12PqNmKj4IV^R@qQ1@^S(R9X#$u)k9%h{piKu;B$OqT z(oF%vB8NPT?)9s7=NB-)#O|iX5ffdPQ-&$!*`3;yA>pF0c!i_l~Fq)#Y*vNaa1GpM%nTK&;Xe#Uelx_;$ zz2=U;vo4cIiy6~ni@~NWiAW-oh5Sj29AU8>d;i9$A0ZnD@`{Hy-MEDi1){fhV|zeo znc|2Bk5kayT~YbOc?9);B4JX)<1N$rXqm-r@g~e%hUj~RqjSVmoN+|mGM@vsNR5WT zwN-mS;+UGRy0QDORW@nln7Zjh%e526lj#R|<<>ScyrbN?cV0+5NbhlQ(`N>uA3Ht^K+Gd+)s@IP}UsAO^5=x z^A<5(H*JszS9p+H5&=hoLQgWVX#%EEJrMG-_QA)=5Ue1zoG4U%(JBSwu>D3LU1-0G zQ zzF1bO;8~SO7Z5Oz?p0Gk-grqu(vU$Tt{C0;huWcbZ09%uCG!mEfNi}b}bp=p=7XjGdPQ{@KXDlx0rykcpNt3 zHt<74uW+=&Az1327hjyWQ-}N0<=oJ&=aiBn>YO zB&tLuk*dx}*-2TQWZN51s-z7;8{pcd&gG@BMHYV8HyF^%q@vOeR3PyCiNv|XStY`7 zP-I%0#KV)<+m0~xZN1eKufIpfzNT6h0{0?JSNfo7$G2{Q2jgoU3^G0(j< zDRbsN;VPiBbb<1lgzduUm)=;rKD&c2mDg@|>co4?GQ!x~Zb>hm4FXbcmM=DOSa*M^ zi3kgSE0H~Tb{l7M)GH~+q10v`+0oqX(4?PTgOd=r|Fw<#?HKquBeLGPv61r#5t(Jv zF6o7T6IIlnHh!Rk{NWd}7KQab=#7bW|E{oLP+SX=3W{(#Js!zf$Qg;}CX#^+g=2d>ls|*U+*|d8Aq0EG#WZrs|ZoYMgK?FY`Firur3 zb`u4DnCT8$?tH~vDB(|&DGs96AGm&4WML&d6Q*n9@{W&`!SN23HJh^;z2}O5*Ps+x zOtB6-eyn(dRS%6TpJBMZ3OfiiE31NW zuC*|-cOV73HAji%7|D9@?dBrp@R_?wu1+y>n{5ls28a6=%B#-^5b{^c(qV zS^C@b^ble_LdX9^%?ot=+T}QZ@wt*}9B`~F&`pUhpbe?^y|%+=AeXPXYVE|krxOT1H7J-@&Jnw`2P;hJ*<*y2 zJU9CDio)WHlj_isxKDItN?${*N4&g5z?)j4XCs=%CyuQL-SNEwYMh~e(^&W;?qamf z1SnPb<`RfHU#|^0@{wOhfsxnB9aW2pM*}6JxQ`YaVeUPH4zX3Drwr!#8OUjxMy0ZZ zq#i)=gYI2WDSZK z(bU1RdW*&{?0g9AP*Tap{Ik8!B718=;bnGXRTHIS?=>&Dyk8(!Yom%N{lUo_IwL69 z&t(|my${BJ7pp2w?S=0V?ZWoP=GY@H)(`fa?*vFAk{zAq98h`a!mQlIV#6f16F?Z< zSrYUhDb#0ZpvH!6tc})EuNyn`|VP+r{|>G!y! z2lA&0mZE6YT;VCm51IxbBT_5$=xpMwm-;Yzd#9ZTGFInEBBMvVFYj|O+qQ4AVR)8` z;!O;5K3%=dH)mB%0lLX2CUMbX#>M2Ga_^HJF_$BqVcLkQm@uknp7dcQxDb~4<11!( z?rnU3s8@#yB5KIjXL!a7^zIfNn7c9=Z;0pLv|P^lBw}UTfbkJ=Hq@d3dE$i@XCeH?h1>8FOB1o|j=hy1XkUJ0h@CC{p z@Xb<#x09j@V9%3yj%c5d2wswlAEnHPkowzyan5hAJ$kS3Kd_s4`f_JU?s-waH$;X> z5NJ0m7AtqDJLa*84RFwuf#xtpj*lnLHKq;lZtIw4arPt#^N|kDz^tf}=@D7XtBr zoQyvjA>hCcOAtNGYy%=d&nXY#?mTbejH-7p25~JhY ztfwL^0j_i=&|oaMk-EPowL3)^7rccMv=G(KP3oehh)zjZG5|K# z%~23}lc4o(QEq`aLS;W=g+?09K(8|eQ5t9q@YpB25K?{y-SlF)$pe8mt_zTO4l0(LM>){Yh^FM_b#872-e7dOHrarcRNre@Kw#BVD&-P$SY>`%=H}234|_iAyrb- z2mAn^%(3TA_?wlwC6RUokxI(72p3Dw=rG+B@8_rivk`UBjy;o$er~I8+H+krjX-iT zQMbUCt~9M$K*50WN!CUswg)-X3xHXS)N=0$_E&2$P?a<{Y?}SGxRn%t3XCRuZO&7u zMY9mQdlrS!w)1bQOM1(u-Tu#$6A{qy$91}dx z`Ey183PT6qwQ6tq^U(+!JCz9mj3aHoZ8#&~G^x2hQgvafWxT>1In1TdwZz|DZvDX1 z1O>nN-6CO5O*yZI$$Tt-f}^!H)&y*mSqb%ONYcdTH>o}=v!B)7W0QAG76fBy+X7W1 zPW5tUJ#C?!1|ESCIv3UU7AE)mBSk6wd-|RK5wcn9LQtq$_(?-6yZ%8m>@%K%ttK8g zif7_N{7gtsgGf~%NbEuyFP?BTnvKKNCZ6=2maw%9{*@8#>PzYtI|u^TO42=#-1md zTg$t1_Qh;ySG2;!H+@lk%-b#~?yPw1)-EJKwz1V;zUn5S%ne|wq#uX{j;1LbnIkZ6 z2^CzE_l5YAU%Nnm$IH>6ZR*de(jIkHGBB_;M5t*5GA5GlBaiC|cQ=yn`}0UOa2$t7 z8+s*6(9Qn2;5nZ;?ZA!_V-`$}KH1`0aR$h~$zLEf4cC!fTjJJwcC0un4M!h;Ugc>x z`4Y`R?(4J=C_R2u0jU^Q_#%{Rn!rR>)Ji`yX)vuzyyJm?CpKJo;#6IbL_%s|x*H_E zoRYrAyn^1TY+^2XX5B#dnfqW#xyARw5Y7ofofuI4U{$cnSmFvd=BX+__Zek!4G#Br z;Ip#XD~&Y1ZC&~+EN29T3Ox)TvvloFiyTb-SO2kB%}yuLN=|M~8N1HFZeuD_WZ)9s zbC;C>_F7iZ!2F#2hyUFI!ptK-lUFDO(DCE zjU0|e8nUS+^XVt1UrCIgtC5?#yIpl0sN_m+9a=Rdd?Z>-mh)Z!a80+&L8d)&4~~_a z9eq7w7dc#yf>%s!kNuflHDBJcwRHwiG*JfvO>}*K7p-m4=tQo%?ZRgEM|NlPlibrd z9gNV!&Xy%TF(1|^uFvY%wTIG=lHs%!_hs*Cq?h+%YP}eONtul5D50pNt9eq%Qn53+ z$w$-U3KWAPXz6x$PhFmjI*X-`6DepH+gB78etreMC+T={h2)*Z9Kd(jV4a?pQt11q zJC<92^bxCw71PcH2iNIH1Y2|w5DA@x@;M9jfN%Ql)z1?ix1t^yi~Cy*E>39TKmtu;Sf3JRu{v4|qnbp#TaH#6#Y;L2$&%nLR`a-#t~|_dU8Y&ie%A-5 zMC>>Aj?*h4K``1SFyN8+>^B*NrtbL}rEySyyP4zk={*DUo#WziEr|wBAPTatQg_}v zEwS%t`dDvcm$$ZlW(75Vsk*9!P^co?7xku|$GX{CkR4BPKuR2?Uw@^!HRN}=V3d|s zR)z7ySI=uUOlxLNrsU+;Ajq4Hq;k|`+gWyMp`T|acRhYA!-793^KF~9x-#cr^I9!` zwvA^#1xJO$l|+SAW1NO0al;b9su@h7+i*LODT+)U;B@o^L)}~H3YauX{yJB<5lvJD zaSAp98&VJHaPa2lI%J!Lk(Kv|TQF*Em$ugBb78_RAjckmWOr>lUf60n97Qv>H6od}cO z_A*A!Q$GXy9a}`3^*nLP-9Q%C7qXnZ21n6vt>Oeyw4V$K6oK4bYm|2O#O=Z`p+5-3 z+1fdZJwaC4_mRU{IwznwK4sbceio0-upWG7g$_!aK93c<*cyB~sg^rB@V*;=kxT+X zvIuwGgY=4z=KtPRd=<=5Ct2c)GovUj>PIlk7 z*xAwVSi=iPvttYJg%$OA@a@5WWqN_-uz5mX+ZrwtU10dxv7meW*S^MYcJFOD3_{l7 z!Vc1#%fvVutq6SMIs4+;p(!9L!ICS=*Q63N)C#yiiVW3S{#GHU*R=TBR&U?weJYqZ ztT1u8&A%RZH28K=EL2M&*7byHR)1n-x0pyV@Cb_u=F*1XNPKT*BUfI3bltYrhY+IQ zeL*!3FRt^MuX11R$Osn21pX%^yC6eW$=fAx)G5KkG?8XXahHe=uw89n<3@kBp>BzhC3wQ8@aBW`6=@rs=$AIu>^ zJcT}c*G|5qA2WoI^cogwgBT7AN6K4!TEX2l6CSQM#0nLePqJTs!LFzypwuzJLwnm< z#?!raYr^o?T%JZB+O)hIl<+xh4*;F9mR1!lc!W(M*~!C=fhBH5yKa4pfDr;z8?at`uP^$K~H`}IM4|-OCgdC zme8%|3Jh4!AdI6iL{B61&9|oje4rTx_D%Ge3qCn03^Lb$qMH7M36d6*2A2{ao4&3( zw{Av*^00!G#q$_=hnPZOwsl9kV1t>DusxRA+@%eoQAUVen?cOCsfoOntDlXSuhIRZ z30I|#y}!~~C6$MsYHT;B7^S`^<@&=C3w*H=h*o@5JCA7GcaQ)`m6qi^{~9s?PWM~5 z10x^kmzl7C-yATxh*IO92LpZ=UQo9bP}2!?*Ekvyzie(sq(57+bI~V8DEwA3urV#T zlTrINcO0}QVVkemwrrEL9&4o?uM8@lZZRFZPS11k(BzP0-+JCWX{Gcn2xj9A`F5i1 zbr_4OsE#?)ofUSU^F8QjYRj6{V2QnAU*a(Mx%^mWjYhE1q?EKp*k$3aV7r-~COZz@Yr0J9{Xr|4Bm43v)>ms6x(>q1$+3bT}#?M2C#O<

    6CM9bL$O zQVK=lw(S9h*K_u?+`xD4gY3`K;KvE-WOYzNv?j;xj6cJ-jybz77)DQGNh^p5JCN}r z9rxIXN5YZ_Y=Qjf@{ee<&1WL_LCufwrdN|MiL!2Rm@(wS=-hbQ2yqagSw&s4u)0HNFWRN|iQoB|V3d6FkDL?yym(q<}HL^kGWgEo| zoOJw%-%DrQY$oDCNgWtcweRkn6ihY&DM2_NV5J%i3;5D6o+hJPt;KRfsUvUS2C&Mcl z4&x3_RuWYp(2z;vD3F!DoNS^0VnxhFbS_ODpt#v1T*Q@%GU!O}N54u;MUGJm@`VJI zw$8u2TlV9u={%b~PY)GBf}i7ehRzy0c|eAEr466sSo<5Dh1}P>=Ruvm>TOD=!+c#}nU1lz+N_R~ zhtOWic$VZ?Baqwl1><%E6~PAm_|@%81)#UKDf*(pc)$X?7Pw2m}33}^Atgq`+5&6W+DBpqUhRxFq!~%Z!S3g z#^7FXT$pOVp^oj6A$9gs=sv^-`NBzD4+^sJbQ3Xu@1U-wWiOhqIeGqo|B65DXsa-D zHyk)1U+KP_N^(`Z@vK&K8wKI8;kc>K^YtLOzgwQ7zgr_Y)_C)3WqQ#+V1b$J%sn>4 z{|9S+)gwii!lx>nQwDHF|;ydL%qvXlYh{P=%WqxfAfJ>5*}nm zTx+;uW6cqbGhU8J{Jc2*`k(DtF>{?+Gr zwEWYvK7}TL_!65ct8^GOdldS($&X*1=XKE!B^rB`f6P35rl&7|vqouqid1gLEgv07 zx;AUv@X{!WzEM#{?3nKz&$So@^(cMa3-NGdpH45fA2=W_WkiGV3DSZZu+z&u*{)Qd zG3>Hq)Hsxf3E4Ck(lNtyb`mJJBQqBWmmuao3~DA!pS3m`ULEkBv~s?V_)};K>nb{w zW~z?Ns_1u&R%kGPd-DQX<9B9*;(}6rv;_spu9sLe`Ue*&RZ@SRjVeHL zy=*^PEVe(ki-!%#4-hK;aluPd(dzw9txY*+>LJweZTYFQkB2 zM8disT|DlO)nK+x{|^mDT+uN z*SGT}M=bJx`*}itNSrpF!n=xeuXfBt#V=QuI~=^-X%zeU%NFj@w^kkW#+qmO`DH}h zS3GMk@dY9EzdnSXKC=EYJBh;$A`Quv#N*++R5r*gp98ri!%WSzV!Sbj9{jRvG=J41 zyC@THl8Lc`Ju{Qx8JrKR4DwB9q(tsLn=DauEbo|qZ28N!&8`zGr=?`g7fN`A4N(@P zu5yQb!ksVV&MiVNTR>Ls&`)ud;!?ZPU%0z+R2{P-Z$-*-gfk1wnCTHB}eKk$q^q~9u)-mDoBri&~RHC6C|vbGFW~j5&^e|f*{CY>R=hw zp{wBNMaS;|$|zkGp$YklB$5k#E3iI8qi5;IhwOdg{Y2d`4~jk3qDl6d;Hexar4eC(XdFc2gy)+-#v*t7+3L^(Wu1J zmKuznr&Zbeb&Y7D07JW$l!%nr#Ih)2)B+*pj!rq7nO`+nj6?TgF(WarTD)$DR!gsb zpuUcJmW9k;N6X;%*3A`5Z)TuTf7z;kv)1?Sli<^MspWI)n-NdtH1IiJ8nW$DX+H4e z=*uy7)fbC)74TRxTdi>sl3u0WGGOdkmZK)YoRdFnlwV&}Sn8R$Mcm zB2{@%oZ2@-YunE_I#fSxN1N?RF6Tb>T`TJ^sDvIrk#K%#;1gI9d>6z{N+dFWoh?Ej zmutg!z?N0&X9D5i`yAw?;@;jKx5AWRmNL%Gk+xYgK%>&s)0fcuaD^{$T+y-R?}D%- ztp6b7(uof>1vUj(rg4hfh1Dj`YStp@? z^^=4hp>zWhvdhP~b}oi(!NQ7vWC;ya&dUj1xXudQ32h*HzMpah!|`d_rW~D_k#`2H ziG@F^JaNF4CRMc6)=PLU`v;KXvWCt&k*j6+QKj?E@r+mUM^=KkYqhf2 zNTI>+(=-195=EJ|FxUxy8w%#J0ngbW3xPV#{OYoCv{b@fD7;v(n#AafDitJC%RdcDSY&@XoL}Ww5^z80GO|ET@M^zUR^d4R$p}z+=Fi*u> zN3&Me3~Rdtj90OT?l~K;a%QZ*I)%o4b;I#$a{tQI+1Kv0eC-}jVU}Xrr*BR)_k6Hj zQ`fr16NkZRNcaO>GF>ur{=uLMz|Lr|{iwbFiEamZkZ~)2>)oYEIf>T`Y=bhr1ZF2h z7G=l2H8I*mm-oeq=c-=a9$vxw=P(}JY!l$qQzWi8W*ec0a~h8JTz+EI&v)2P&IeMv ze77bpA|m1fzNg;Jc$rZjjnJFZ^Br`2R8K+5f`P&-<(Lf527Ql#n{o60*yIh?l3}D6 zr3O$BO>?e)TYyw2D|*dN8V7M2rI(5{&iU7+V=q;07OI8(JadN*hTyg{`pS(N^cGsc#W+K%0dR4?r=5q%frK5a*mzcgbxmgaN#1Sf(ceu2dz@ETb z&YH4s7(G9~4-5tz@nb95a)>!U;yig2F+$lF%8Sv+#Qm;AY)H_NXFEdicS44dL>WtB ztIe=uY0_Fh`uxU2g(JC@D<+;G4QjtqrN3SyBXibkGv!_?oK~Gb;;q{Kl;#k*8M)_ggNYqLPHU*d&913N|gnn!%w=?OiCk`1fN1Z+;G+30~v1t zg3Gu;;%4ng3+19RmhB#ssT|4mA|O2TB83irk9beJT6DZ?J>kx8b-VcZWTGQ(&`W$5 zuv+?4y%QcXhc?ot?LR>mC>M8UQJ^Y7NLxIpWX|Dyf8oeo7>#7A&9jlHvqPxaKbf#x zu?I02?bmwy%3G*obdD-SOl$-vh3Li=6AeL(n$Hq_8q1#8RktEL5-HV*^`}XFiogGV zj6T{s02O+?hqW~a={gWxz1gscTVX~^j2#2KE?TDPxXddKEE=9Zy18^b2Sd2RZMBbu ziRN=X^&<)OK(1xJ^D2`o1p5*xpxgE}wp|0ZqIBZ+%K|0c$hbt#qs&d!Uh0{#TJZRD z*_n$110CuSJq>!fZh~5R6+3dg-Tlpfv&Pa<41q*gptraO4u3g{0H4gfF%n-%{b8&b z*Kcj=xG<*D%?g~i+Fm~oucB5^$h$c#^};?A3x#X^*pPxN`dd;OtJ$M9`_H+B#1O_d zIF@Qz71@SUY3VkgY&R%GfbVlQ4+dtbFfq$}T>VU5nDuw+ygfBKwQDS(46e_Ai&L~? z0S|mNT4NzUIupj@4q8YBkLrZL54MlCeLL@0`9X%h=QiS&OXP*7`iW!U@W0prN*{pN zVB-WEfs3bJ4&cAbQQ)kLMA2FKx-f~nJHzmtM3OYlcg4KX5>05P{S!h5TG zP#O?stKR?7rv8$8`$J6m{qvRzxYcJ2&$CD5YQlEgu7uYGFxhEWCm!Q}+=NXPJie(# zKL!3izF%X|dYC35p$T@GNIpmh6yETnY(Z!}cYPD?fjR?$#IEx`z&DrM^+;zr43c8V zAcgu9EfpZZ!kR)V!WTBLl+I81%gq$bP?DJk1xE5Ay=*`neOSQt=8-4_`4w1487e+@ zaBMQ<8ec_^)HryuELACgC^13XM#YpwP(1{dB;3J#XC*7`{UUzXz-TnlI9D*u0Ekp|4NJ*;E!cH8LqBGP|AQ%usbe@7>9SqS;%5jSR|hU48*Kv zB1oZ-^$rl;r{LD=i3r=Y zkQGC*;_vi1Ch1du=_?|`M}Mi;3iQk8cc8p*$tL&S1%R&5yRJPOutRC^8-=}ocm6u5 zg3&skZz1gsd)`O%z-cdVK?3%}b7i92B=*chLaEr$-zaGiuhm1QNuhEVq{UTbBU|ow z;}k?~(gqow+X7&Ox<6c0qu?8pwm5X^F{563pEKO^fN4R0GfE>+ks;W2+|G(l#0E-L zEYuy*nAn~jgn7zg32%92Otu!~iIEL|zg?@x$pGy!O3=}#VJjbdbYa!cU%7BBiS!kv zZ~#pcg{zM9Z4|v5BiIVM^6Iqii$A`>PPmIfm*x3wig0v7@b0Z+u?LPCZXJ^|enK3N z9SW+*zL2_qGS!UhV}qQmzX30H!N>-+O&e+lO1Q_A?R~J}5ZnV6^XtxHwYWhf0i^ni zIAY22lID+}{d5eX6{&_ZEIV^!B2YMXtE!Devp!?949Rw;yQ9W5zbA!0V+3Un8#*o0 zRK}LSh|I}eW3626H-STe-JgjClx~YzT5o~!C^Fv!Dyv{mSo{Xo9tJ%Q4 zck8;kN-%~SNOV@s#y~*aq{o32Gqe+}mml3XLRi_JGiO2)4wn^F(o|fH>(;lzGTR

    HFXVg7h=z)Kh_U%Uf^Qkqj#JOXJ zf*d*JyrXv`sm^@ZV`E}Z)u@^Bojiardrw;SG20DjN7A!PSJWstFk**iM7&V@dOo1? zkYJ>;z_GkMQGfJWZ&g2u<{^aIwiZ95FPLaEzX9GG<!Y38smUJMX!hb$HX2g3hi< zQkCp+vWzQ1aY~D%)`11<%@iAt?1d+97HI<%4eQVp;(swQT@&19h)~?UHC%XQijcIz zri)!L66bWMo&)R*aj)kI4Owr(i`Jyoc2kEzwO~Q-w%}UAH=hn@ISuM6`JR@Q@xd=R zf6UIrR_|RZS(AAGXjcpHPz+YSD!ZSAkaJ=5l;}D$UVVW|vz9n$&%~lu-Y)4C~RLZw!!Z_rk%c9!2$JcXgvnSOf0lxu#lFdH_d&yA8KuHe)vo> zopsYY1$V*gQU4>-Rx`T<6+<}3D&`(dv#Y?#9;plep_yg! zY-qT-Rlpj%X~H=f52Mu693NeBn0d0&CVAV8p+=#FREfW13tyV=4;#0xpq!h?R=Cqy zo3R9#BY$cun444&2fdF7t8XJ?{p~EllG??>Ucoc-7j0YC>(g*zFnV-VlWz*!wMhvk z27i8EB>_t$j)d0tB?d;Qz6d(S+X96PC-uI`xP`+PXN60G=x)Bg*cHiGQU@OuP30gAQe>={;=GG(7WZe9Y8LL?olx zdvy9sWKg~tf37CrQn_V86(8LHrqX~FVKBWHQIuAxY(R2&6y*6u5lEjL)`y%wjX67NLSv=L(-4fgs$wL4u7FPt3kKRQHi(M48XGfA0A;F<(_n2*;N`D!F zz?fvD+~kMdhPk9#ig9)%tEMK-h9s9yj5&&NC3`Ua$i8mTSGMbqNLzLqdX()1it{XL zTt$M742(Cj*CaVctTVLY@67>60#uiAZ6{A2c+BFUTkA@fF1M?R5bsmoEfizTPA`^* zXfkLfv8}xT94xB?Um;F1(27f|yJk@(D zcD?}rE|8|=g+s!~l3YGsKaU|ZF|JP4(y@mZZUVqUKUKYnm`*)tt5nhJ)9Sc4mS|%eYkJi?BjS?u0yHV(E zQEgGK1~#dh6XjO7Ohn1Pe}LJcoYdL~{q9dtu{u9Jq$!cuc*w3ndGleliA;Hr+36&Y zytLaqMGEY;J35{|ox2R7lwqgjKJB2Vx*K@e=)`UnjJl+dIT(g=uzx}8ZZe(QffLUB zGdZK#()=d9R=J#`wq1+^@BG5@c$Fz2Oh)Cr^C_cnbXeVJE<)ipeH+$4R>5P|K8( z;$H5_p?n9Oyr!_Hlz$SY_jjI4v1MY)*Z=Wa$R1oGb6nKr0ewkXrAo#oC0k;s z08Z_o=3-E?nYNj`sVIJX8}MX`ej})+1FL0RrVd7n%8I7y)nJ1`U#e=j7<7Rd^eymn zOiT2byPmH4#Fh^#9+vfo!+oVFRO#IF#?+pc4@@Ez2eF||U4NMoLt-FI>R!r&Q1sc+ zqfzn9)-R>Uo!6F!S~&4fvjI9jXs$EHPb%h6oQG1zN5p36k0pf%_}(ocS1H>CGYJiH zGrP0dt6J#Y7d7KF)7=XpkLNpm z;~i?VbayLMB3-Y4fn?~@Bl2yoTMXm-LY5YO*jO_8#DB=?du94(PwQot3|-4GnVv>U z2Ad|YM^qgo*MIdH88qU&X+y)*OMmv_)eh#TdcOL)&Ft8B=#d`t5rN#NG(#_nHB(RF z(p#^&g(=nC6Xhx!#g1ck2sSLM=8buCy`LssY~1NFcCDVo7LjzWc01aGQnNLI*L_f} zFn*U-!+)dO$kMX9ipNy37v+}}tyDzH2Eom~{Z?0`E_I$EXTXH^&J;!!&fZy$M)Z8# z0VZ{acLjAnDa4RoJc-YR&^jEj61I@XRfNvd(fNXE6z9vH;A@+a?=ah7E9PX~b>R9X z3%vVUFjl_spzruiSL~PN;di@il=5Ut1wr4^1b>bgixXPrxt@(7%XihFD1xz0Gh$ZC zTBO4Unwn|e$q;dy(D&}_%4_`$x=kM}zF5sEF_GvQgnv~ud4Ae{vf(tk#mo2%{dJ|? zt8dq-i%+IEkcQ%{XtGi~vE{dWFGyAPp9LfizH-kb2(yuXqnwdpMf&u9la5<^>q0Xe z6Mx(Gi}lbe5tb38qgy*=aX;+_A9XCTXYtPt;E!)u%U@Xs7 z-pk?ldnXeeB~G&sN*Wp^%NJO{@r${87&i`i=3UINyTZ9dgVi)qZSlxK2vv2p7X;6~ zsR(&$1|y;jTKTQp$wiQ3$f%%rlFPa9bAJ;vtmeJKCvDxmoQXaWz)@j?N0euJSKa$G z-S=7zSMR4%37N3%N+ScS$2!)X*sIPW0T0k{g`OwY<1WG4mGz5krAqqYFRR}~1b;hD zjB`Gr)S9fES0%B!7Dx6tMfGGoIyrnOqXR~>U(eupcb-RD5$;3A;w>>B9k{C^IFam} zKc#wf)Nh8)^m<2t`Xs;Rqp)GxjJ84TlibZ20ctv^l#?9)-nIsqC~3bw?fp{MNQO`N z?MIB-zy#djCh99|zFj2a)4-m-n}5$9KRh7m43$Fk>A1H)_VHwyT7?8(W*V>1c3l#+ zzR=>oNPFb-bf}aXl#I&J^NIVbWcb!M>qAMDS>LhT!uEKheUyfm!9OH$caitp+%Vz; z2z9b*l;ekHo;y_Lu@mKezJc2+0G7hEj6BRvYoy|^YCnXXw9y?Gt@%;DF@NiSk?b&L zT*i9!cxk#to*+mhwWVuYvu#Du=BOyF1H%F{?}H|f&xarqDpF`(M9fT%!h(;bZpT== zHO8xrgS>6&V?}rSA;S#aMOUe9`-h*LA;lJNPV2aI+^jo{4t+^j*6d48r1nTR)v@b0 zlHIx#rfP8?agtGy#b#jNoPY8Ra8I8>==t?ymPz9r3*Vu2yM0QyP+6fyEX7dun*2PRms0d72btJ3p7p@?`H# zz_*(28jC4VAPsAh{M)m;SY)Q3cCy!lm~`l4>jAIer{#`FLj2l6_Opr9yAVkoqvtRA z`Dm}j>Pg%uba~@@o4#>sV{5QwcMqc+9*kQd3cv~`xM$#EUlucL{JY&Dy8n3;onG$?R zkIfupX?^1yIY~D=@RVZ#Sg+G&gUUaE-@f5&FeGRWjo!e+TYeE#OC4cU40S*%f(}RK zIlr7|I%)TO`hWdn$kpYr%M8PEehfL!u-2us?#zWAsT|LsZzpOd4zFOdBiAkPoPp#j zTD2+5!;83%WZmrp+$5FJ++q?UqniW6Bl;46rC<1S#Am-9*)e6QmM@jNa`0{{b-E6~ zTiwiSlD|sO0|iHiyS|H)&bgK+uDiJ3d~CM6sqSnG4S$^RHGkR?=DIcca&uK~a+&uF zdhNyu5k0e|z6h4RE*&2`ac?nxO@kFIoDBW#o%H{z3ROMycv_{mV z2IVGs)_=q3^4PJ1?hHEqEeU<3URG7SsWn~!c z9DhugQlA*_hKuwV(TyrSC_F6a&+&OFGyXZuw$ev;aC{i_e|}LxLKh$J$irOK^48=VdG^YCDtAw`jbo8W0(sqG2dGOjw~~zO?gFI zy6_!l9sw0VfQyZ!uF+JzIQ2@#0R_wr$aY5A$EW=8SRos4ki5ox+e7SZFO`HwJ@WD`6y zTSckrGr&Bg2BFBq{N_Ul(=}9zUuUGII({QdPznJ1u=%`=Bw$B65JhiNKA_)QE4k>Ds#AciF zO}|Lyh9WDVqoYb9QzXmFIpVw+OR4Ci%`{~!5K17XqF~sK3gJ+)2Q`tC)yi${yRX4; zu9au$IF!#Ae2TNg{Y<8?>aqs?fqx&G79U#9ZVGi4%W`RBV^lD(ZDsqGXfH{V0Q6s- z1|7sih4$SPK+oz4xk0(gM)}uyRF|S3D387eg%Zj}i;qnCC-u}}d#w&3M@`I{`pKSr zc+h9w;41wXX_k!Z^ULLF9ywXmZ^14E$;bBs?@yf46VDqx|2OrC*(sV>?u0|Moo2^!^hZ0#^FkR{M{N( zIf!o@=Q>T7Oh&w^O(@(0$b`Wbd7{ay26FT>Zq-w_%00KZJ9c?0DoxVyf)%Kh^2kq zMm5W>G#G!Fa$gsHjBu6bpB3oR%w}J>#du z^L%{Zob&o6LB7=@MHE9asJ_MAAV6Ly1x-p&4~M9bw|6c(D^C7yfi`_-h4V>e z<~V@StXX!AIV$o{s2xD$iK_bAaUZ9qkDRN9r}(@7#o4*9;eUS6#tODYvT(}c-tDL9A$1(%Ysck|j^7rB)|>M~v{OC$7Ot-wS~4 z#GGuzc__iW(FfZ911bdBOqXG60uz@)ECLj_AMgRF9G7%!0v5O33<6~zmxYG{6t`9^ z0vF*6v<3^NnaqxViCL?_XS5)n0`MDM*u^boxhUN`r>_g&vw z-+KO>v(G;3?6aT4%cYJ%<00D1l@$#x*5l}o5gI0y& z5i$T11RP+9a0YFb^9k0Ap?N`a3l;5utC@% z(PR()_Du_Iivc|R2Zld){nMH4KTo=BJ z0~q43y@X=n{{er>`cULQ*YmHKf3FDu`oCNkipL_|e*os9B7dKU=-=<($nyVK%E}lw zPhrp_X@Ib}7zhB8kbDS`7MJq=f8@fRW3dP{{*U3;yZoE~IV1$a4FMyY9K*n5109m8 zgA07ras~=-2@UjF8wrhp2*!IGx6Nsht@iL|OTSq6Agq}$DA@b`Y~;Uh&TH*`Z+r=kOP5SEtdnDeb|15pt zk|$5A0gANFuWi0XGZ#BCe1O&vwB)Btd3s5K_#sq6GhiQ-y=C+GWMPspBs1VU#WpX? zGyCiUKj)7wz_3_?nS<_bGt9`qQc`DN~45FJKXDD#Z^5V$y3L?X812>TIH<1hGK z{opEG(y?LAe;&y4QiQMT#;BPFZ&pBl%0o?`!i8ec{rJ?GWfJZ)Co?BL4qdmg_M+pL ze-?dIN>t;@A(?vw^wd$W@p;9jmU8vjo|=273w;fwrPDIG1QsO+F2_ZaA9l)c`TTk# zO7$$E@0pT=&>GGRhDu|9Idy$4ohqKyJMz`cT#2o4W;D^OCdYTruOBR?fx7oIZyV(O zg*(Xf93cU~??4IWOht%&&9IRLMR7k6bL&WEkPVGJ`-tgMyggkR7I7C9Ct@z0B42y1I5>j| z5DexxX^(h#6&mCFb3GWD#TK*NWiqtO=)SRt(By6K31W4j?DpLBff2n1& zOAqqE_NH7M^>?i35aBu)ABi3)U+Jt5onT-`9gBe(v8S>?8%|K7353`8i_+UZ_5jhHx0>fw z?IkA(F%mPw9AR|hmLJE6XM_d!fA(}VPx-&v3?kOw$P|$e@+q-At<%mF!v4-1b>g@F zq(D}%-PLbdLB0qxIGITY^xj7b@1U|YU)Db}=Y@Bj+UZ2!Zon$-^yW;uN#WJ>U2Gv;;OEy^|q2g@roD7wmN{ z;K+V&S!<7+ma6>bti=z@uy15h9OlWu9h1~|ax>w~KdLs#5?jMle{GqOQ5i*RD!O#qs9?(ZG`a{-UvbI^3 zljrs2Liep4$C3EUucw{tnN!~VIigZK#mV&Tw)%%^n8^+6F#r=Yu;I90OIrDKmP!RG zJaIe2u}HRoT=T^R)B*IfrEZjY*-uw$l7&50Sc(2whJ!nke<@OXXX8%qu8_t^S(~VD z`{u9j4rc;S4}+Cd)C5k0J?Em!`Mh3_Uu6)mNZo3O$=F5nW;uP z_tPL#%7#*zrL5Zu>*AHv`r)tk`uiSSX$lR6OzNupz($kZ#WlI{Kk%#v=MRb=JID2W ztLAr!35ulYDRhXRy1A_RW2$Jfex(hz4#AHHcOYcve^mFOt6?ygsnEDm&sZR#XoKJ~ z{JGRC0p%zxC-sL)hNDnMLp#?;#o*T;f)@*gny4f%UP~BMn7Ho9z$3fGRf4Ztx2o;? z=Cvcv!KATx9?+SM^rII}=@?#qp95?{jKTfB+|9g3ze)`}NgF44H>mWiRJw+K#DLCL zJZ0fRfA2I^@Y|cQgt0U_bq6B*Pjz~>w*0nHXM0?ZJ_cImi!6($M8=-_ zqiNPE%X3^tF2EJ84Nn=R%lG1?PP`Vf#NM3MjS0av5DA4A+qttW_U+bJ0RkH#qa!6A zScPVyZ`R+pIWP+;AysqXD(^tPhCXS1;ohHoe@AxN9|Va7E!4<*?+ruwA1!1#oJ8%G zbumsXbf`h9s)@1_32<*Ej2p+EhD{sYsjwZOc1f`OWy-$GCd9Nhy71Z51oCEVR%?|z zix}b-=R4Egc7^@~UhyqiY^+T#BPP{stfgKQ_OJ-YMA9<}OIiQ&zE7f+^bQzk%j@!N zfAYBfbvc|`n&nM8Nm2fEz58Zdl)!4k`~`Q(?0410woGA1d;*v)J1c|n+i}!wpYyq* zK-s6Y3r?jp4LJM9)g)RGREJ|{@7^;lOQrm(jrdlWZptb@$wQ_TqLT#^jQo|)ZJ=4z zJGvK=Q(j|v*P)3xCnD%lTy375j?#jt^VwnWyf$_|JXk z{)YvF9C#Mk#sQ8nQ9If`c4WQaN=t9e!q+!uKI+gR%8#{{bNDrA>T+BHRM7db+}H)h zWpi$&+3Q%e*UgCFtnUPo$nlVbJ$beiV{>`Z^cT)R!{l~@(oF8dc`UVru#crX(_$1*6d@~|y ziCaB%b0Q=L7D_eIJS<;(k7Gbl#FGw35pC{OJZzk(-Sk)UkKsj)t2tXaXbvKdI3efW zXcLd4P$|&5Ct5gy=FusBe=;<8ZmL+D`b{o&-Tac*)1Ryo>7UVar$!M1*H$;+1Q!B7 zuEo$YdIgnb4S!qhuxnN6i|L~5_9QcJ?KVC-r%5?Gkvx*A?@FJ4t-9f{GM3VMbiwWM zE{Wk;S@I;vz-@jh{JmF>S$147pkuqU(+1*YXLA+0!pL8^2mNX3e{Wwwe4?)}`RH`R z>CHFy0F^<6h``mOa@vXf%fML$w7cOVQcdsXX?zqTA#>3{f01c`AV!%vP^_mK%A3jW zzxj-a1x`%xSF!>30=UIa?M`)-2*Akl>1@Xr)V#zR@%kR;)|y34&}46moWSq-2qQ{T zw)^&;IGf5#Zk0!-e+ulkk`*T@yXyk|U(b7>@=H^}0&VT$xw10_EN&%B9Gmm(M|1&x zMpcWCTa$mFd z(}P%!4pZ_H9uAzS0X1cwM^k#OECt;RT&?2BfO6cY{&`)UHP$$GdVums@kIT>dgGPf!iVW8$&I9ijk5#?icpQ3bQjUHtCZu!&A? z@%*VFL-Z26#p-24$_LDbq`SgT+cE~Eivi(0-=^}Qys$C5IZ~Z>a(v>0Kb|?xJsUC& zTJ9x&MN1|OL^juys_aHvPa}z;{@4^N%R*I9$5O|^{@qEuAI(|(vpZoDHJ?%4X&y+4 zl9LXl4O~vp@MVF}i6`-k76|fQ@24NGURXts{Vc=6Q>sgP(>aqq!R3mTG6n3qdE{Bu zwbbK7kD$lmg<6VLVHDY67S2Zcdu$3y_29^&{Fy_upUG`pPu3tk`gQW?nv$d+Gc+iO zZ^s@uiNhk`0|=u-C1!93mvPYuiG@MFj_PNse-k9pcaE!h_Dl^l7xZ<`r6yZIU7; zTlMYjwJIf1t?im1@Iay$Q&WTvkKdP%|AQUK@kiYN(tv7P_i!ryu)rA0V$F;_ccR~W z+|-INE$2p}~6JBm}OrZL@uT@n}aPwPGC3MD~sbB&N3={jy1R17WS!~aeKw<8bdT*ZrV@v zYwYf;Vab#+`|FtCXQ5SZZC;V5GSB80pC}q30DXftz6EleSvagFh<13(<|CqhQH39$ zc^A3BSJsElaqGp_jjAgBoa&>GDI0?l*TWW2Og`|FnOJ??1Rq}fI=-s((~Z!S*A=Y0 zcv=yA8O2w9VhlL*;;JygdvrRsnyhrIPIH5?@GNby5ko9XC5 z?(K0ci$K!+DtQXT!Ic}-?M!wAILZQ8jJjWB52)0pR*X?!WY)@ z3%2O|lJ03}P+E=fI?3(96P7pRCpqj?2wG_{;kz;CmfdV()@5NUS3`s8A-wt%U?5YAUU{yKBg07Vh#d9q^ z88A643_J`=v0tpLXV!9t%?`{G$~dmSm!)y>5Lwxyd?!*0TUu)27a$yXO`n^WoSP>1 zJ*?;9PfDtTIU&(wz)j?Jps;b4<5Ek`yD7!r9^4BE0JZN44Ia)C4N$=M()QV9AfJ5= zVH8Q#Ul4a-OiYXGV6?D0F#Zx#@G-xPjwH88(meEMUi1E73r94rV-=_bKAmfleZX26 z#+zRnV1{l<-0>lDH2$0xzY1U zr0=0)?8X+@n7%jO>N)5-H8KUOwO_p?G}#D>75I5%Kn692pRcOYBMrLWu^mBO2ATH8 z2>hOXgV?$zw?{O0FmrWtF*p8e6dd-joxtTrT% zimRWD$#+SO8H_fyx#g$ktv3{c&p5Ch9-icUK{KUSjjY+Dxt*%}-FJN~sBd{xa7xPP zxtb6vXD&H6Pi4P$)TderLTdqWbWs0xzLPp1JXIlmY)*BGSwDO=k}(|P&^2q2*u{C_ zTy1hlr=cd{-K&q`QC}i4-X@ZVqqE}+Tb@^#Me$ldJ%l3HR!@Fmby@#CnFWXAC^*)} zTb}Mq$e3*RXhSpZd$-9c2s6D`&aS`OTJxl9{mI6aHxu<8J06+_h(RN@?ZoQkZGi+O z-S6OH$nyz+Pra%YQ(j#*@=(j9&|tr&P`TsNnX%2IG_-e*s9uYh z#9ph_N9z=B4;i0Z(6xfo)wT5%5eIHqODls`vl5_1og%NoxdkPFUgz6{yjO_b<>fltxdFtDh zTGyVWOg16*<4RHLcx0H5gW9p{kN4Sx`92O<<`N>&;E2I*_bTsl8CblNyd-b4Lws1aG= z8!W;jC0c(23l1gq1q00o4q=Gz$>J{jFDQm@zEoNo-I z*8>gc`K$e?@t>3%0=@`>j$c<_GZPe4kpveF54S%yV4_}n3lI?>^t2)3{;)5rgpr>{R6Wl^U zYE+w{g_yb>_l$swR{Zymi5F}u8mV`QTPxeJTXp^4l-#LivzGc)CX#lu0$XH@QO5(uD?UNZuN-v>*kU|SvES#bpUaJZG2}z_JD|GH zpWaBG>tx9^hwF$y_DhvGXTap?*dPARg79W{oVz?l%i?=>i@?O7gQ{_DyQ633|>x(H+GCAT?cz%1xX|d{}>2VX{CnMe#=rb>Bp0nQt>g4=#^i z8KoA5c?vnj^ud%&@K!G@U{Nf{@ z0q-v|15BeJXqb|33(yD=!CSv_#y(FPRByro|yRApe#qq{yA+A_6M4H)* z0fl3bo_J$9l6~DysW3SX-A%fr6`#3DD_Wi#jw;5S>VyeGk?DAwT@EgIO$@D#(Z_vS zC6AdVCN|<|3;5m+R*LJ_=pUE{|`?~6dgTU`IvF(l`jb}&H zpPUa(w{i+1P_*R?`tk3F(#dlT@kzVw6Fm*-#smz=47@Y$)udEj@b5kcx3k@-yszmG zjt-#d!GQ9RZ43GmlHer+Yj1tZ+gO@#cusWxY(wKT+D5WcgVV{v6lkae+i}f!pTE74 ztO#E3D1O$&&r_ae=feXV$=Wa-?9Nx=?Tn9c=i_}i%_k9;Q+E#Fr$fJU1;8pd+}4jO zt|Dk(|KI{J>$kRHO@dlBzg+&!Lg)V1h0epl`#;qE>Op3EVq2B}QTL<1+#Ov|dMw^T z5C}zQTON0=ym;sx(aF;ict4pcu7nBmSgm5l!LGLg(pTb7@bv zsPA*I8DV-be^i~WQ<4X|jM?W}9sT70)lHXT;8uB1WH(>y4#McJkHLW-JS-q!ro7W9 zJ~lSGc)a3F=`LAy7fGu=NY4bKycDY5qstpUE?p};b)GsVET-N(c&rc>83DDJwL$iu z>T_>iTY2%gU&b~PFJC=5<(sR>)YUc9r=3+({igj=$bS0_PF3r@D=2$Qm$T~q#G`^K z_uJJcm|o{yF)~vU8{&$I?k}^VV%CP>%38qpFTElJNa2{j!Rp`o%OI5)CG&(>Zm5pl z=c;B&*g+pPwU@!*!hY{v6@)it_EnJUur z@$x-vf_RpGt-kXjJdm=#qBuKPdDoi68y?x9v=y?vKJrRqjZQuZr z4y=A)7kPNlA)<$(Epfl+cGLC*G1fUn1`kGO2bp31c6{N8Gvb!C(dFiC;unwu;bVTD z?MMJ-*Ez9@60D-MfS8jgA0>*w*M z!0mG};GoBoe$(|w-gqRUufxp9o}`2z5B+VE^0)Cw6+xb`>hC#H`e4<6dX*OjR{fX% zRQ(lT)o=Sp_2*fF{>Q5Sk0H48oC*f3e%~y1u^wYawo+YX7SkoxZ`6>p9ji`>^xfEbGPFPI zA|P$UxXx2-KvXVU1>rId*asGXqH^cx)>zM4h2MWSRy2tB8AUlY!Y@Ts zqraj*T;%%2sS@2IT(}m+$GkfZj?IHS9ilyLnOymd>9Rih<;j8KwB=jV8TDp6VYe@j znHIDc@+$U)>un(20$PEYkk+7IW!Q@m*HdT6Fszt<#v9l-#b-ieyr|m_hsBnPK){nc$FC_-6nmr zGfvaKPH5i3%vWh@x6N7r_6bz^#B*h-Lj34aEx_}XYfVEm(_pcnJH%5nlC-e*-WAC& z{dLCe1QRnl!&UbW22fd@5DRcI?D&S3xWFgLB~&uG!HQNl7l#ZF_-ev=zt?Jg1)%I! z+I-fJDfp8IDT%#pUJHQppmuKG>GqnZ@vh^ZM<3uRia09?WAaZBH~;eZ<7?d?X6Rc@ zytsA#iy0LDof+EG|Bo}n>i;$~V1jlM8o17buE<0)g8kvV;}9yTn6DA`0*>%2!BJ4Z zGl>~$*_U#W%@_$$cUWLyUDS5##ftMjDxwF*So+ru!x0vitO%$9 ze-W|%O;f2R-X95yhbc^=c&?b zpQYxRPBZFwmDf8Dw0_`H;=A^o;s9mS5I+D97WQOl1eLtN41z1Dr1Jo@KDo-{$fn7B z0v>ej$s`LZ;oo@<1&ymx`({-y-i)oVtiMcMaBpI_33|;oot=2^XX)HcY<{!VarKRi zUd1R~=@;1E7J4T+Zhnpy8dB)_HwfYVJ7(YnXGDKhgZ>LDkpBf0-$Vh|>lN$X2+YeQ z!rOZnR}lg!Eu<{VspU&;nZeN+ZA-4+2Xg#!pws4m%&y z&C&}u~Q)=SIlL(d19uoV7*kK4Khb7&%S!G z{akJL8rj0Iee$D5eoHH+pt|h1X*sceo$HKS8emwHM>`i_U-Gt#RklD%UE-qF9#rvB z>WC~hx0^i#>hl7&5j&@vRlk8h?x2!4nQ<=3`#i|GPdSXJXqPzv59}iWR zft3jQ{8E$|%AnPkTa^F=y#wndL=Wi&2m|yn$UiA55oVv@_E;khI3=y3N&RYi{|j)l zjUB-uq-dN0+EU8cs(%LzAQ6YRB>xr2F9WOf9`_pI`qwBFt5*!2%S7OaaA}V1gwuei zvOcuIl+I2LPZoLTDH$9KRNFWBXQWGYvTR`f05~Ouj7MA1L=g%( zM`5|Y6PEcpaGFa5k_dQ7{fSAhmVpQ0m^5U4ckpY4Cp%a$ z$?sp8fp7$ss4qR)!7-__ppwPGm6?X1lH?N*fBVWzC7UMx0hqe7H;5&uM0e*Y8$7N` z@0&H%doy;P9NppOk-qT<7Qge)R=vL}F$ubz_smANwfBL$b<;m@a0tGb{@QPXe}&8w zEA;zUSn#s`fkk{$5Gu_7f_eCVOA!xd-VV27(~5v1$I;4$1V@WhrmFD|yExC>FU1E- z|JXA4SnEDVY_x$T&qA@_mQZKA)Z9U9th>gh=Y;go>ioHJ+NdMQlJ{;c2N5gTbH$ zJr0li3*+QhfN59xxVdEm4qtvu`5!R&u#P=@1UR4esC`Ank-G4E!4`u>B21@Us2~idg1DZ#~ki423x8g-dxxjA)$VCU+P8Vl`#~sTb-5{e{%3T& zD9DGnFXM~g)+^mB|Iw%Z&mSzu_cl*BBRIb86mDK8#R=-+B4B~OeBpkSmh?gQXlAz`z1#ChZQ`8A(oj2mgvGCk(<>$mSWEPoKFe7Jb=2x|$wFgIjO zHhE=WB2k*=cYf9z0m=Jjgj}evyvZ;z34pTDLL1--L~%+J_qXLrQg+|kS0&iqoceUf zrk}_W71qN%-Jb+W(3Y7MmoK<* zfTBRh3~z>2uRBnxSVb?lA%TuL37egMY@*1RJV?D2wudpKW@cIXyfVj#;hhb{L^m@; zBNqgm;#igN{#NDwgoYdw<_4rk_J`|~6%Mqt4>=;0+SC4CxnpVXX5a;F2|iN(tSNxJ zAxP!2XVv`Sm{!o;o@G;3UbynokjV@Rnb66NQlrv>U@4|^pxdR?#7Yiwq}`2o{H3C^ zhJ;Tg_uYvzE=s4K$z)5fjICg$Qg#Pn_alYWMXc70^3N~U=qv!ZX4^pn|1KTp2tFOx zh_*D|1hbs9GSLU5D7tl5`3I&mSe~|BkAReM*#K}?t|_Am1TImSzj%V1H_;6W{c&2tGS>CS6QSKt`l3~cQOm<|8xg82lO2-rWsySTa zJleF36VMoU2GhE;py~FYKgtLC=w}qY89{VWmE@um<;?6b%BJ6s(!Zhlwu{eFjJp0Y z@#W0@wu5K!t$pP6PW`r{VexIv{OyVFNoe5sEvNb|aO@S0`bj8Z@r~T|wP~BKxs7nuGi@qf^bETV%Y!n6Z7r*|`_*6nTFP-wqwJ7nG)hb0CQP3# zo_7~VMx*yKvu9S*ZvwsP42~BvLxvs~$9>OMS9bK>`IbI;)z;VFc)I(bkBe!Z9D}>K z*tgrKAB@E|*xxvpoTT1x(bb(Pc;|zqo%53o=t-4}C^2EGBI?!S@MJ^j$K?kXgY<)L z=Z+r;4b<&=m}*OjYPwR)RsygM_+8CF z@MKetPr(Br1xIiT%e(j8@1qmZqgWV238~5{yI3^1M-ipbJ-SoJa0ZCY8SzvCGC2Y| z^atoU`YWcy09TwqP`0GL0MDZr4zAZro3Xrk zB#Qx-+tu@j4-@u?edhy}pAl}u9`rD0zKN~jKAOzM=e&8`!gHC0?6Gs4a%i-Z1wXm; zp(3{f=8el@%G^b*(AY^V_#G|^LPTgmUGn1GXMyVd+*}Wm(R(lYwvN->i0Z!q09UeZ z0Tcx!d$L~v)CW{9RyJ04Hg*nHb}j~1w&bb;DD3}!Zl(ZAmW+dmotc}7jhT~`nVp@C zR?S?COy2sFIT<@EJ3EV+`KM&wLMReg&cB9Qg-~<^+-!fM1Q%B~GEN@ef3G&-VCCZZ z%SDaq=&KU);I`jYO#FUQq?tR^O&fHh4u~lT4iS!vvvAq`1(o$h!9Je)<;Hhxa%s+s zttUv41G84=V1GYj&qwBhPZ@zh1)UzAxb~w#N={IpRgckoX0O~x_(U9?a4qApaI*_1 zQT6&me8wqigdaid)QEx3gy*%QP3SNMYUdygBUuT@Ag+z_j7p=T1Z$?E=I+atr@-(ghUD^}mdV>UMT=2Ogqq8Np|*mw$j_Cga6-@tj0!ZC4_4gJ zd=CGJg-8FBA}}|Q%}yp0&kJFpo3`ri-dU;r)kPhtNeEEt?P3c|oBgq<=CSaSLxXmB zaPQ@!r?d$Jtkld#hEwX#?tMap_Adfe1maGX_{o!cZLoK`ETt8Jo@2SuH@w)ghzwAo zY!{F)YnSablq4%DIs~79-hKeW5u03(9GcH$Up=0+28d~K*k@5&nYr~BsJQf1D$?#K zMV77yFLr%h1W(1Nn}qO5N#{5&NWR#e!9O&=0x?MmoE`hbdewy!-m=h}3Uxp_?lZD) zi8{gVxCCpOOf4lzbL;UsM;W*6hapkTr~FQ1<`ZRTQ&7Ze7;XEuLjjo|rL5Uz8_3K@ z=v5?r4Zod;5O=#xH7?Rdr=M5!i=j~fru zXg!B*(dz5r4z>)d(F)xt0=gLgXNGZ>gB@i z+Cwzr5>D>JFYP*{#~t3A{hu79|STZ@kV_|eD~YX0$}D=cH2v>R=ls{-eul3 zHdrY^5uoV#Tw6KM6k<32+Mp24K&ca?7L9TMw&Hw7N|L%c=y8OfBP4z2R$#5~hBlQ1 zbiO+*v}ir{R)<{Me_sb$6V_l)HfQOAH-lD8d#36Gp2BwwP3sVy$5Z->aUP4M!U%>g zC(+bFwFYogSvjFm2C9WI;xl#=SCQWgj-Hdf29=?Si%+|~%$Tixx2Lq^h_{0V1oURs zblD^`E)&+fLNA`A{jv0Dx6i_|0{3ByA8h&BuX*C`H42iQy7i-($vnN}%d z6?9HYlU+$Rew7ffMuH`Sq?zL3)tsb^qT{C%{pOPz6eW!EBLur9go4~FO7VT19%40s zJM6=@g{D$~f;RrvHg6WAzK<&&YbFJBi?r~QG!|-z7zDV5c05eEj1WPY@#-)RLjF*s zde+7)mSW=o2gL|T8S{QFK7BztPG_Z4pG-XRLn;#m-?S$Y!$1$4@LUN6No=G>?AYA< zES%gxXKq4#8A$bhK+nJe?u#8{q)x!>Pg@7VG?O`ii~q`a&H9vupn3Y83w9xq!xTu7ScUHylIMBOL!ZrjT86>UXzNnXF$f2kvR}J|R z1CZ5fD5A%ljlMB-;0?OBYd7VLVR6Tt&N=xy5UG-B0McJn;k1#aw+Jn;RHCs!r`r$AXGoLk_3h!o!q_LKp zilDtj;u^GXWlPwPm~ACFG{1h1H#&NClL{N*3UzcK^nh;;i&!h_C0Qa;Ag04v;Qtm? z!#>hHGi^%~r;|b!{d-$$H>>*x0`V%*!hQv>@tC2j8wm#nP|7CFw(Rl#4t#8qY6uiEGAya8t1T96Q&kAZcMF#Gru?bXYQ}(Z zlUDYS2Ou!~uuf%;bK7-Ik9}XL*|T3h^GUA6$E_Y#qSJV?MZc@UnlLKZ>}PNoNx=^U`I2KU2Gfg*&uTkT`M%b*<~QQ@B!e28?np~O zM`FXYpW1q^Nv`<0D~>wNRg5f)ZiTDmh5hlHvv$D2&nt#-$tk>E4?b__8=+-wg;JoB zuAbfvWX)6giv-8zXs2AyJUXnzhKDe!Z29Pe>d2L_(x;8XLNAX`fI`xidy7}vQEmFr@SCHFGJ80C2dRnS zZl~fheJ5a@!2#^eiRNQlw;g^%~?cWa=5={U)6?paZT5?!4B^ zLKT|5l+PN@ZH-BGp_1xWX6ko|xRo`Sjo-W%SKLukJW{%mRt(MlEk8C!~mLJ?6A5>35k{iu0>Q{)JO^6G$m zU=kLD8en3?Usd%_y#Nv$D&fssv1slI+ta=^(llq|t+QO7G52|4MxYs-65E|YY`5Va zt>Ay2IUY-l{A^_B(0z~L=`6{+4`uda?&<3NP7@2}FNpVeQL-5pOz_8&=s@rKn`uEY zbO%Y{(QV!$I4H6be#nJ@OXyqBchxA7BL0z*Ar>a{7wVzc=C+QTCz1pKElUU8(NX<; z$H`9^DuS!UN1uGz2(e;sh9hMdrSLvfce=fsR(gveJsY#`-yR+o!HB%co)1I{8>C=l zY3E}<5K{1DIsKhU!1Jv7>M4*lOGCB< zf4S0H&|C^oH#A>sTx)Hy>*@wufC)vs#3Pl1O66RS2GITC4LRM3qlV6SqQ)Tbs}klS zLH?jJPLlmWt+L7M%gNlbVU%HwLT6j5JYPe-cB|nip422T?UEn5jg^cy@Ib; z!0}#P3#RKd$5WYL_u1Zqoj-dj*)C=cC;}a%aM-MnF+2Sf2Cz^kqM+}Ylyg>* zBC2Tul)|lIJFkFB0L)2Sv>jMd?mbm^=!L%fda=|$Wq;@+5!EFW97=CkGkIT>*kYs?kP944(2;a8WyC1RV>x5-s9FO;uK1Ib+V`Hb_q1OrC_3oh?~`Tb zh5N&cf9aBqfvuL;7-ZC@V3^4E7HW_z`6?VUgY9ESb`vuKs)}|s z%c7FOv<|X6d78(WLSE#(W|R0r1+ln%yGmhASr#)LbS9cd?Bc#>%5y+&JSF!iErd_T zVHP7kfQ}!s67(E^0l`~ATY0g84gKlLzM*_2{dD(CPtQ#6+1@A2q63UL#+O{FbhHSdcojMzG(03h&-&e!;TG)aZY~-V z{VM|~(oKZD+Leo8Nqqa>*`6mui?1WsvVkVoa^`fyDd#;fu^ffbCo^fq@5P;-`0W>W zF@u@m_{Wq6U}Areu^2pQvT%KAR@dJ8OL20;;hjJ>P5Ii|R&)E!)P$H&u^3=9uBfrg zHXLvfRa*(98F9&SS;2fwoZN?W`KLtR7sfGX&X6Y~t7}XoffOdcA9+3=nfx2IQu4lu z)tB|w9~ZTs;f>JLP!u$nsLp*fpT1$WeJ77joAfJ49dp;Rv*IJ}WwRV_;FdI#N`A&g z!VByCgGEy0TpE7FA4=0bSRZ>Ml3ZUNCv%VUBT*s&dMH&OE{$KZx0ks8z;P4AW{P0? zjuH7$n7DN|y-&O1kYYg|_aFzm;NC^YF%J8{!`<b~oo@%gW_l0P!^1@;_npQcxi!@r48JWCoIm6Q8SBJxCC2{rYF8OT%5TH)uq!g@ zb5;!jTq4NTvt)_7+qx9hz=E5CxzcqSMIZ*EFUdC8zD#B2(i0S>$e zzqC!9e$ct=!@MzNs>I(v>oga7CCTjXE_K+)Io-<^Vlei^>%en6o z{#46ig;D73;U~eQ%M31X1S{i1H^FPk}Fh z*~p6a{efv1B&u)VI0e+f)g|68_K>s1h}wlLhR!ayBz7h|Ip&@Xmgl(eE}e^V;Frw| zcPXpt&TmtX{8EkE9BS+o+p$tkwFA|3Rp278Qcf{Q`ALE$p`QhvQbbx(!XTbJ4AY`^B6*-$61zw*9U$>KApH{N3q(_ zQXE8CkI$1hiM}eh%Dqg)2ye94YtnzHD<2sw#xsAKGk0>OYTn(aAx*6YohWzZ(y*?q zIo0)q4(@iXuNqLzZ@%FFY#r&JjU%fvP0!z&xIBM-oqUY!#J47>?_7WZD5?P1xEwoX z5h4nReII(xhp}S!h0>8Q+t9Q6w>}LizlZq>xpY{dc?2MDFOHTL1Bl#lb46!-rRhtlZxWsm=HINxS?2dPpGilb z9=5!6K#rgn#}c7|;h+Bb*0cXG(qbrnAy;=?u-CU1I|eJzrUONAqciXkhSQWKqMsF=@GeWbHHrdF;DwL zi4vA(6+S}1Hoa9BLA5zY+(3DRry@1D_V~fVg9jy>8fjq?WMvyf8b`%YZv2D;AHj_Xy8ko zeg=a(^>0jT@5Z49n+f5;zdSTxmTjt({@<147RV#ghcSYb@A8NL z*bkj*=!Y<&k5ezVUq5Ck&|~-!wDu`}A`6zz=byVzAulSXRccTo0dV z|KzosCM%N-JL!WTP|0805T`Y6va}j6A4AV%_OJGRwS)hCKZ5py<;jn?SR*w20&+A5 z$!$ifRE;hu=9m|V(aD)Zvn?G5UF-Z@xSs+M5j-K9yfAx^jcraF#iMZIa##7?h)st0 zif3fM`9C#n)DiJ+?$>D9ESqFfL@>vJ%1=M*U4GhD4aciAhq9k`~V)tl$1QJ&}g1Ny0*FYxRjho{V}o=d`y7MNAqK&qnLP_@ZG~KrNG4e1MYG5v=lng zUV7Tb?jLu@v7o^;;l)siSP3-SY7F`Glhm`?V+p<2Z=9Vm@Y2m{Doi0tdOCTw zMoz5kZn#f_pFZ=fAmEL)P2iFq+L`HxzQU&VQ^s~(1@NmJ4EVUPO$4x=$}24*xkf$o{iJPN8ji!6G6Y zG{H%x52H-=&G281<$n7BW|tsXpal;xODD4yroBj=4HH*D(k;D7AQlM1)T_;@3n+v{ zUP1HOfK{=-VG;^}S9>OJq6^uI;1OdU><%gUL+4E{;M}-ht3Duy74Uwq-UEpDG z%kWoDNflYbSijwDd%KPte%?qf@%-)^MaMz*m5l!F-HnoWYZIFSF9}Jm4BD%5kjVHn z$(P7E>_G8(1-LcIF7&&U5<|4F%g_qT_AL$O0hCNsR0pNeF$HfMogP^Y=u5$Ty!hWd z1`H$;^-Wa0NPNW90?K^@Ze*J7NQuN4oPThj@@#z;_QC<^?c9OKyA0qw^hY{dunuTo zKq!sAuJtheM~rEKDU*`>xXMfIJ|4yaG|(HOfPyu_*F=Zo0YQ`QT^F7uud2G8&v9gB5%=ET~`FN2tG~YB$Bc zuL``&U0g;3AEdj&sYbc0Dp+;#r;_2*dll1qu9pnNQV~Js(#_?Nu=6aU<;E`51)M~u4Z;=dJ+5Pq>iULSqP|GMVIE_@_wPL&!4l%2f(jSbRgCKO>p zP7Jb)!eMCs>xq2-n>d{|K^a0ydtqLA`XS%h>So%gLaJ`^LzTU-%18MTq4b{@VgB(2 zAWNV??xS37s^Mf_``oTkx{IlW#kz;&%MK?o_vjF{N(fKd!PU&YQcdnX{?qYj($g%j z_BcefQo)?874^LZFrPdBZ6JkP%qf#Ep)HOf5Qog-h2Mavs#(0?53ZmzS? zXp55uqm{hHO5==SL-|dfYOmgDDbLbu-4jk;+whZ?7PlF zp7(+1C(33RfzU|uP;AU2$qB40C#(0R$C-ta-acm-O#-i;?oaUfL(_4fTyHL_>r4LH zoULs4Ji1uMqLVsG%XjuI*(M$ZAdjol=e$_Cmi9nk=IQ_afDk>)FjCy`Bj`Xdo z^POtV(rZz%AObpmJa{7C>u9eYp<)M8gd0_bgO++ED6lG> z>{6HhwIBOSA6H-D?_#z1tjh}U%qTRykF2`Bhctn?hiA-kRP#;_7DW#@1`_#=tX=f< zh`e!}CON!1wucz$f3K`;Px=qOt6Qsls$bHX?*l{;_>86JQf{U?S8Uctug&;ljE|#7sIgk7g7*{6w&P!) zWCM2_?-a=-nvUNq9?*hrYzuPk3ViTP%>w=Xxam%+zm5}$Ki0CyuWIMUT&l=LgX}(o zjE_jj*rC=P!mZN`D{MkSNS0t{+z_j@ASQ-ipQEte?A6#4k|6qI1ay}EIn)iy zVC7#5ldGl+)_K_5c@RAyL!oC1 zffldAJS(YRNlTh>Xc8`-6-zKg2ir~@_TJA4?6z|<%E-Fvt3T)pj>uMn}Bfmkx zc@-U(NFL1+&h0))r93!Au2~?)qh2JbSSc-3Q1o`U@+BiWj|$UQZZehG*u6u!#3JB@ ze)@}PQ#J*$yc!zC1Oy991o1$B2E_L#hr{tjc<{~{Qz8Yi0&b*u20Z=v7t?BJqc3u} zrQ?cT=#$gTm_CfWDBuo?g>ybrXYz2)ff$At`uH?cYQIKaWN{i`ENPiKLdifeLrEf8oRH4U(OEjm~CjOUhawu+hS z$>EE++SJc^huOUo*$6u#OD{{!A!}=h zd6S!`D*mor4m96uhdXG#3mUGYgC}#3r6}A#;nE6)E(#-$`ZW52`~6N|s_i*{DG?Ve zX~wl%x_tlQ0ITbii0N?YiVpizH|kLscWXCc7{y{UuI+=3-=n`3u?#!r(lqYIuEITJ0J2<`g80olMUapY z84tbNT*>W+BBHC;ndV*kX}U~BS^tjrXhi?|I#Cf>+dF5iU2&ll zSAad*@?IBj-#{v|IG9xP37Os{kBRSvdeKyybQS>((hlP_kH)20r+^c?Hy=>C5Gmzr zpOfz7qZsGX*tQXTtN->WRBZ4|cc-vnt?LuHlK$_Sj|Ho)`W><2vQ=gOTwjW}58S5v zK-Zkz&UY*RSl-Wjm;GBX0=6UahqR|VF(0@=J$9nuHjkk5#J$ z83|cl^8Q!mO7tQG0mS?5kJq2YDZ@k_< zx2D)lx^5ma<(-l+A{b^eQ)~DYD2iknN9!4;)xY`pwA@bVpxzyPV99m>+F7@b%k0Xx zGb;{3O3nPLy750!c2;VrW5hyP{oF1$Qh|RDnO`)KWXd(?0Q1&19Ur`%V8^45*IFCA zjNfAm9Njj$!dfopk|&j=njaQ;7e6|vbU+^4MV1|FqRw&e+uy}{HFL{)nza82?LYCh z-VpC!zS?xZ)}3qOZoj{6No6PchQ@D~7%Y(kJ$sEqK&c4p8$Wy$^?2sY zl4zEvx<@gV005{irdJDImo9h2{h|IcX&ZQ4Yzf6)3$_0#6gr{M)izl`6f)U3LtXLI zT7X1+yi{IfdBmxX&vOBBVs6e{G!g^cP2Z=0FcV5!(PV>t@Iu4ow|S75skgAS5UGz2 zu+%b;1SuOMznWg_7g*4K$IG)plGa9~eeS{UuPF!GvCyuFNYb%_C=iO|#I<;{T$(Gm z#T5@}N6*K?B0yo=dQ6hobQ-Ve%PH{Mx+duu&D4J1&@)tN#}U)xE260hAQcqQ;d;8q zFo*KZjxlAcxX0P~%nB;!mXf_xpNT{35Rxj=ChOVX;i4B;Gy0Nh9*KKsEzq{=v$?W%0zauptwmfUrTM| zgN(9YvnGfSE?Y|1R;bBv;oIFw7bf?K^Dy*PPrfDL$$ArsSwd;ZP@siUpCCGAQzp*a zpNw$jhQsVXkvcQr>^mY!K5Tm@@}CS6Q2#!A+kY+s#-8cSdBg(#g}%q*n>V9qMoWQU zrfVH$u7}5@?oO_b!dxQRRV#$uRv+_!ZAVJJII2hXBCwJm8N>WovQHSDf`Ry-$1+5H z1g`@%eB zFO8!nBe|p~Z}{p*CxUS|#CZ(UmU4`}jG7AhDSDp7DVJJ0;~V(@l;yA;hPW|gQcRKk zZEv*^A=a9Vl%`f=PLOjirFe{K%Fd?I;G#g?^mRgVYi$Vc_inFzH6QO_r=_`+Qk)uX zr-dZ6z+D(okgiTu3WT)eH#b}qD#~utemjje&5}M-GLPA}FypU=Y<}-SK*@@vccU0N zB0r?kp=HJ3TFZGc`{Ls}=prr1HN(@w>F%A*w_uu~&*d@DM)E2(l($%^hVrg!`B|Zl zU*>NB)dtd7zR#`)qmweu1}T=}&AHV=9#8u)D^}IV3=d20WPljUH_6&Lcco(OO;!bU zrWC?w`uUE&wgd7gH|kxMvUTD~ZT6pj3f3%D@TS(H5OzIV%s$;A#$GwIGjm=t^gm|7 z%5QUqGq+oCT)DG~xHqi@SFB!^ZpoWlc^b*_M%Qreetiz~iy)m-c$2hNM{)eF#-#I2 zRvAEj$S|F+Q}n6ES&Qn4ivQP_fU7HysSRp&jH>(GUFXvv(#X^yt;M!=YR_(6)a_z1 zY6pqWI)VIW|8$`i_E?`9HaDAY$uCub<>s>Ep%&>M4Ufvv7&0yd-Mfz6{eD{`hc3dc*0o#EQ%TM^CCbN%Z?MJptSo9?K1E=i4?!P@4@?gqNu zD+J6{#|GTqT1(jYCm4zN7bklh=!df`V=K^roJIrlr6OnC>v?M7JIv;JD<U1^@r z0Z>-$@sa+r&6!bmV39&vPzn3>{p^@kz#A9!{;q;vVeFPCzryQxm&E43MtG%3Rzl~}+@U6a3KXdGN9oa|KI?sD9WXhvj-s7X7!d8H?6 zroQOn?bTxM+5A%1(%KVJ;*30O(fzq;n0iSb(|Gly*6rrAwUR1_V)IRl9luBAN57kQ zwQ`#sL)0brM$v++fny7XfoCYMkk%@$_}hXuid^xUsKz#4MQsVa!=oilI#mHmU@?L! zF{q_qK9$>1yp&xDeO#+O(QMjKbwBFGiQk{y-?xA+*JZ1{B1R47e7ye-{^>0=#WMHv zkWKZ z!mp&3#kj>ZT?AKp#Q~H~tl4vgnvo!S*nm|h*4(+-|Jp;HtKSVoPl@x@r1O^sQvJ{I zZWYx^^TCF{$LM_IePx)4@IidnJ9#2V-Sk8eXhg3bxzwoZO~TOuUZij(K#(5yPfb`5 zecu2|4`os)l3tJ`zP~xQDURJOo}NWLMZmyd&#I95Eu|j4D}BHKQ!nM*xq2yC!h&!+GQu4CG#OvZYP%q=H`*ua^!Fou-~;5^e1ulA+9N^2!xqsji)pUUk8>k)SPtFfU{W|NK%_5N@zrdT!u*N5ZcDz> z0Ra}3Bh7>ym%BqCj&}{leOGE%dJ7r1>2!Yd%Er$*mD(okF>sjzjj{llJz?T}@e)%A znNU5aS+k?3V{4HS#mfxiv%J@-R!s(mef;=3vlAMo#&zUmS2WW^|m8 zc`#AO)tfb%{6n?85hL^uPOs4yoRfp$evY<|jbq2*dOm~3so3|-?XTmFW}2lWI}o@V z`zV|IB6QtZ)^7=gPW+oZp}$qmCU7_W%npCLaQnQnqfyz(s6Jm$p6v&ECBhRKmn=IyJ|?@mjMrgBtrO#sNM$hM9*6% zb5sXfClv{K88*R7qRvSD&?&r4J;n4|H zm|Ea~OwAON!0*>D2NzA2N$(HTcrOj#eHSf8VSb;wLNs$r-tyz4jY@}s=6R&=is*r6 zE7=Q-NmYUje!hXP6Zco-!0T6UmZERm)s~%@)>E4Qe6Fa?X6LX9%Js6;T+gZCk1e5M z@_h8&Oa#v#imB;!3Z5_}=D!#1Y_8dux=?BEX2Rx)&7cA&K#Y0%_iEdx8BfIi5h;uQ z`_33T<$I%0VrEM7_22h?qU^G(&-}$4gSI(T(7zOq(|trn;-nW^7=UJ$G>+ycY#(e* z23qCl&bY)vu{u2}?9Fa>NNn1wPSltaei zIbrk@;lQNQ=q2;v%ijzGafc2ZpQZ+%7>?lA=XlUCc@X_Gri2fgrZng|A%QpZfD~L! zX{HJ~dBe26s@HIWfu5OO9?r%2Kh6J1aQ;tXCh{FAltWFlj|d$W4$omwXEhq1H1m_! z+ad{lM9lcPz6VVgwxKMuUxngD3Z&*KpyN@j5mvGuc^~?dc)|MrJy^l}56&ku%-DhE zlM>^Ffa-B-3pqa!swz>m$Ic`ymd#O>twzYSKAdB9{u81Ep3Gwce~Ses!0&NIn~`>~><|X;m*&(&zc{>v34RX> zzpNuKvc6k#hTt6j!1Q%!Wsu`;mKpA=fIZB}~cQNL-WGDXEqq+W{tCKD#B(|mkVnT-7&w-B?+LDOgV*Pe8e zUEc(>K0e#ALs*WOv{r!q-QR|O@rrbr1VZ(4bg4{Oh}P2DaxzVpYQ$fzaG;5vTD$-?4B95%D(jA*S8ge{WpLkctL>ybI zz*bgy&ybpMJD_ko?m3z^E;c@?2jBio_$cO+OmgZyqnd1l#_Cp>%eBuNkp-n1r8$ab z_tQ~XHdvAJsKmMP!Vggjka*o6jMHc6#dDbZS-*2&Udga?!0Qizl-q6yfE@qC@xYlp z=**{`AhyeJ<;H`&9ShH92eJQUGuKHPNLx1(X8R||i4Plv@bQ#=$?G!>dbT}*= zq&;*Cp?pRtlEg1o6a=D-?w&2s0u^XITc9QSf7r(1Z1bo`u{$zx>AdSQPkLlU#|JD3 z-_q)aKSU=+t=5gSL+b7c3+7G$GF!-Bfk;OEiMn}8gXhQn$avj|NS8_2E}7yHFYDHL z9XynG(_XlHcA~{wP1M;k;O?dk{4!+kE7Egw$QTV2Wa1QDjN}Ne6dZ!b@@^W^j$w_c zmPYOF5>FDY8~CehGpQL&&@D>h+$=@`Jb6j4Ms6Piw{;xuQX60##A*o#Wu<|u*l}R* zOLE>N3;YE?RK#T+%A}buP(t!V(jWv$Zk=da0~rvkXUGEAYSR2!xIQ-KFKG~j`Q=M` ztq9&>vS$$K9Yc0W;`&{(mcJLKF$!9fmj{}I$o4VHnm(MvNaYQOGNrC?&{EN4+}QMC zXCVFbR0KG|ets^+OKl-SG>ERdW2mv{VjFCV(CcONh z7q&w<5O0xIGXgw2wD_%W94MoK05KCCe18kh!sm>(q8kBrYU#aXw5Y-A$dCI|_0ctt^OU1SWnL#aVQ6ahqT z&7>`r2J4pzaq#p@KY5WMdv4}~F0UdL$7AiyDW5wO+B?hkvukgWRjAT*E70_=jRr~h z)clcb$t&EfO!QYG zOjKeddCC31YEA?rCy_JG>NIgPpY?fuv9Y8#2m^^)O)YVX@g1<6ItGj~-7u5zc-buo z7p83GQO@^zK3qTiIlQOxjn?a#KvM0n_2Q1HZurRZ%d5utVRr=`mV^5K$hdROj7iqG zbNTTSR(jaoeYYJc_DzT+xhO9$)w+hz?vq>j!Ptmr2xMq7-G?~#pk(q&1to$`@q3B1 zEt^E&51llWMP=Y@p~{>~ieE_EtPjs@)@>+hfPkseG1#^_o6nAl@SBEMEHM+7I+O*X zXue-YYG+`k@xkk3)`G+Yv|&Lfk3%|t2#YnGQLV0>mvzyrp}C^8QD;qHOf4-RECov{ z(qO2x$F|K9eqSwo&+{#bE4hI^1e7$Em!PK@JYS`@?*|;A@KzU6ksK!F8DyFl#NHA z(`ZqmWqW$Uw>XqqDv9+_v#2hJRi{PPGEX^uA=|&&E88=fo8wtmg*=3XSf@pLUdqej zD49lYP-w#%_Y%qajN^Fy)L0J{z)sAz(p~>OSG#G%f)@N3=V8et->a=9@jmIX$%s65 zZ^h_eUJF>4DahPPFacQZUK2Z^F+Q!?jIgLasd4%~zt+_LskNhfVS8Tn+DA1sCE?0F zZg)3`5a;i$g8QG>Ih`|q_8XR52n}_Avdwml%vOK=s@myqwdt@i*9@B^1h6zJ|9NM_ zPj@=Y`Yec{enIPhme;Lc*>@QH_I~BfSbuK|alFQlx%jS%xct~y*L;uVtMDGj{d#T) zcJiS=&LfB<{hMV;bkiEu-?+xv=g)tfIP3JQMC7o$7+6{t=1r(O-wLnXHdZw^1p6bq z*N-aYI#wQw_AplFQm#D$|3PM3?nTjcvkls-T- zL25QzNefZwL8+GgXQp&6?sJlv+NYy) zBiW80lc8IBvS~Hh4d(?;*UUpWTB%w0jIr>cbS)5`DrtpnJXB!5SN#(KG)7Y)%WJI+I4pj&kS~lZ_8>4U(;1&Q4&%5wu5$qGo< z3?pW=mSe&5?rc?UUkgqwC}<_y;}{R(E@e{Bpq{$2?PCJMa3)%a@uf^bYnvt z31#Dg*Okx)!874&Pm!r+?$?zDgdBY2&7-x-A&y)Q#aJD^217sp`R+mYmkK0esc<%r zOq~E1JyD>;?E5qm%eM%x{>x~M9}?SFjbfB1)P6xjj_$glUiW7|TQ(j|x1quHGf zQkXhbPiBPexBjHzb{?=%DyvIDt8RaP`2{Q$nSGvodK{&=-LMQK{oNpVtYf5#ot1BO zb)~eg(koN5G&8I=&bEhQq8(x>11^WJe?Mwnu1Hr9zgONWbwtP z`Vz{;HAqBKNny!dShG9D@FD~qY(UW@WdD3t*P;*4(!7}6>%hqPE<%m0K&|qxC6GrU zZ-S1&ofMwfMX7uSlgLLk=)UbFtk6@Wyq~6NR7=z3~++9aA!iXR$ydVD@eE8-VMTCXzx5Pwt|e`=1Sca2sOE;IjD~ z((LV7e=YMqz}2#bpaK6$tAczroxGd(dBoq23>sdSe@u&_$({_nO%CMcsq&+=McKv4 zjth@J|Dz;no6Gq9@2-}%5XnRqE4AS6RKx4k^zRprCH?vY)ndPL8>$yC%D2l*t(}Mm z5Gka7h3&3gG9>$sr@$6)Sp+Gr`!=lQYJcg30qAl~76lDJp-_+8cI`=NM%&!euHBzf z^HNu>L@l8Y*vW6H<`X%WcWIji!yIwUGXrmU-SDg>vvLES3-^;Eya?R4U2wLpltmH$ zOtjSWA!hNHsz`{eQk{Iny%#{O!}a_3M^C`v@hnBe{!Aui$<}qtsq--Rs`cO8)8*z# zKjNfdk12HypuJfG-01%VhHryH`K<|Q^&Sp!$M``iZnWLr$B&zTCKhP~r+)sd#p&mp z`YAm41mlBUU~yi|TwqxTi?;Zn+`N#Y8t@4ri{B%k#IO^ZqJ24t$7sGsPF6HF#T^DF zD*zvfAojc8`k=(4OC^P8TmMw)eC~Oke|QgoIB%LG5zvQaxwT6!P=s=UMNbHyQsJo{ z3EnhoJot3wE%~6Jl=q4R{ucrh+|JV2yX4XgSolnyIsSom9853g18vl`XCbcUlhPqro9uJqJ>8m$1|H|pbrW@hScAJu?cPtK<(`=IYTKK{j)Ks zm+4d7H!i>O2H_5|EF{isYjZ2*>`0}6pXOE_bf21|% zV&e1ZaxF2YmY1T$g1ACrzuX@KfM@f|CZ4Bq2aEtJ>FDzTxoZFy8QUBaVjDw zMkceuSYLhr8ED?u_+j-M*bhDEKKUq4U@}{OshR`bqCr2r;`KC%5*|So; z3-YW|3?-=oYO=gJlQ@WVxS(y{i$f1|jM}?JW8WAFxgR{V8W;7cNowoC(ssGhsSgbL z13IhpS>}kbhAm6+6yna|aIDCE89Jm~AyA8A_htzH5^RqpgaG=5Zkr+Xt;IL3miCys zQ!Y604MV{lc4a8m{RCEW`dB6v8+#}PV;5=UFWs4Wm1z65~-9 zr6x0wRf^Q@9>>7o%NmT=!0PEgyWyJXr_T$5@`~~uBJ!?olFKA6%LBVieEfCG4l>)S zHZarQ+!V|iTv0z|U@p)jEe!)rCOj%^GMV~HmN(o+>q!dW(jHL4CYb!<-7_UW^HrT` zBBP#53saLxT?SFMjL04a)4~R)zX-;OqQ8t{fqbP%;|d2+Z~%QgaNtURUP~+;IItNUxQ@~uMpj7z z1@}duM49U^Byn~|z^NV~3XTX%Jt(aQA`6jSLl8+{lUp7(bX?k9;S!7()S>VjRk35+|6H-;!Q)gh4)r({i=L-9?j$-V9_`pTz>ngZmkeA%&g{ z9~>zUA5A8SVEKTeF=!NG`!^QCa1J1egJm+wMJp8!Kpa9)p2Ka4rTy&OAl{%Ww>3eb%93p+nK_o*{~S(UjM%x&d{hsZJuX8;XN_jmXtb(noQ;K;naweJD!ZqqmEhj~@wc{D);ds>0aRWPva<WKk>m(Hy5O?>f&2R}TY5cNZzPeI*s{KYvT{0n(?KWrEJoh{90y#%;DCWO z)uB$M=MW(XAz-@l<3cI-XCW-(NK&i2hQH49Jof}zVGQ!)j~0~EJId+uLHR-9ow-qk z&mrQhb)t(NHh5Ws_|}svik9dz|8iN;LI&6zzK5DSF+$QP1h~S{ z;RmYD*vj$ml5yx@PO0>z%n>puis%2BPHEvxrJVmU0iC{ZuD;0saeaoDvHlUVKo=D` z`FoUb>WTWJ7zSI}^=ApBS)lwZ%?fqO5sn&1Zt>Yk+Bm zVDBOZ-mOk?7#T+>fNeU^G^XCJPIW4!rkWFIBpD@z8@1;8+tCd&l!H1z#2wCJQuE?K z1iD&j2Z*4-Sq$~Nc!Ywqx~Dpm=cZpwxj#{2X~9u}R!XwDWjT`ud`-jQ2jTE$O>yP~ zI^T_mBH?)bcAJCnIsFDAf#ZeFOGYc`see*ugCkVy{;BiCQmlJ(OlBz6Se_NcDP6n! z;8(CTE^?@V$v`R z(;(J4E~y)jhhKiF$a$c1KWK)6ITI_g{5hq|Fe0z!^sGiDJ#zOoEC_kr582Xnd7mEC zo)~Xs?tMX4H#K@)zq-s7FhP~lyApf~%?kC(362Rzg7WokniuU#z$v`E!Gd)vXOsi< zfTMzxwD|PE8fB$=b{~%EJSHnWEY8{jr@o=VkJP2L=3>#7R7J(jyfP@d(W6yb7Sm(` z&vgq+R<>nTNEriWQlCwDuOJSxcGTKFJY9*Q~b${_q>i%_KpT1A}6OhKz%K7Lb zX5(|k`oQ&=NxAZCiD{Tm@M?L4^Ux`_rGT=3WVU{{`ysn1V~q3VZ<|iEnE_ZG{JssZ zZpy$5k+M25$>|g$oZ&1V*!y^DU84jq;S@US2X=%VJwbTtqZ>vlE$u{0-1$Wo_+1iS z=$!e459)_;hLi_WCYAyP@DgQ4GQ_f+#1;HC;zuM0i%JZqJoc}&AfhT#vjcs>A#vU~ z2!U3k@t)eP(*U$?k9HWIOFPl#2Vk5~;Tg#obS*h}?GkPWJV*RWlP0br&8N^49P)U4 zwhC^CDLvkpGrT>6D3!9Gz3UWYe3G~^>U0M+>lK2mGLF3p{^I) z3kI!~HZxK1T0BTe_fXa+ zIE6%1ulqyMFMKrmE>Zi{TJFX{qb^oa5_YCT3`M2i&=M^t$Pnjyq7>BNnnC>;oG9gRh*CI&Rt7Hw>{Sp< zPFNZPi&SG-h=Th*4);BoH2>KSxbJCj-&t$iScMy`D#7yuQSedPktt<*=A2rN^6lHG z%wofmvy%D4|Av!3ofG~~mA&rKYKLivkFz*5QFYkK*gDHL5o}ktsqOQXEg9u zbLvCp=0GsW9ng+_JwGDJl1vv&tEh-lB$6fvOV5N9>(8L^MkM2XE6w0x8BkN{+xwOO zMbXASMq{3+fP()X$uMGU{K$<~F#;7XGKDcQQA<$Cs%RVy(eC}EJ%;rLNnMA{bf;HD zXL6PDP1$DOHZ<45GX8ZiS)T%*C^PPkrlf&elA?ALV0h197_Ms>LJ$BddA9kB#=;NE z5?P{RYW`ab^xmiuUsbe%q5!qN(A%QvRYRVa71$70%8DWPNj5(mX?^6)Al!?7bRPa; zMPB3w@Wy`c8{Lv0 z6lxRmS2;QUspLs>Z5qndTGE3>U^S9o-5zA}Er>CH@p>s`->@G=COucD=A!QHT)Nwt zqmhKg>fxIGxQ8yDyHYE`zMsRmM@`QZZ-vtA<5Jq;x;Q0Ed4i`HpH(~N%awTmLkDu6 zO!dlb&8*qA7y0-)e z=vr)a+X*%j?1Pd*JIyQZwdAk70q832*A#&#XQ!VQOjOhKo9QSBa>E3ekXQA!G$^IQ zI_3VP33yW4d~jit_?`C&ACP5ZjltH;5T{gfaV^))O|&W4TH+`{AE+Z@F8PG7;-N(u z3GRF*S>U9Gwie|pCf;Cy6xtmN_P(;Ha0tGlW*CG;Mdgyd$Q{mGn;}W5ROebwYF7LV zRKYS(hs47C8DE8qDMkjQOdC@S5}c28CQx@_le9x{VFP4fWyV27u@Mk_jb|VoI8db? z94LqoPNM6e&R0xD@WZ+L>@Zx|2A`R=sfdTo^EZ&T^dgcqJJBjw;SMxpNK-1+3YEfp z$%%*QE1ygTIN#Au%iBXp5)y_OfYGs59?#YxeRAP95Npe$%BaWFHxSFU9!Ef?D2Wrb zjvqv&KJ*Duxjd>E4Zg06%wc*#v-`<)49`oM3mPROkZnw^e6@UaG~!<3%eO5o}H-gKVDGbd^ZdPurIQ3K`vtFq2K<%xtb_kzSVm&VlKgmP9#p zsl=BTGV>BZ5F97ar%cb^I+aV*T!7HU(Z*2b=|m~;V|{UGC_5dZ1Ubph z?mFwux9%|R@|xKB(nRBq^e634RcG5aQRw~s`Nx(z$4Tq6*$kZAJDk*A`@K&-nrV@~ zPp|=2*aI~97MZKTh=pQj@+|Pu(B=kzz0#9o=wzv+Vfxaa3WqIVspRLKXi2}S4F-z`*9N%;n&srd&WGmklL z91`bC!+CXL#RaCGklq8P0-+Ca{gi%E2EsEnQjhVTXn*DGZ?+Lpcll%y*$d2c`sz$E1*i~N(-~$um)yAv3J-G2zS_u6xbLA>B3wo#`XW2 z&F!#hsY|@b-VLIK+0t4|n7wu-ng5-IJob==C&hwo#isXn_?N?b^*+grRgH*5M0Jfo zmPk>DA6db1>C1cKLGz}Q1+f%~4W+VsY_1hZ=4i#eQ8Hh`ouN4lX6pS>BVbWf`YaR; z5+ZM$e0;!-w$GNsWJWG}L^n#L8`CRFgCBm}fGapBCgM%20jVa} zn6h!-QiiR&gq{=oes8?RDC3R{f~TiI;5I_^pzIc-K9nMX#U+%&cVndh<-nr*xYd!0 zfHROSgaco)u-&mj8CwL>%Ow#u8fXv2fYSeeOuch>UdjcvDa(%ANn zZQD*7HMVUww%Nx1p1i+v&h`Db_p{c$*35baYv!7{w;1%tJldhx)%tRgp+EC4_Dwnx zi!qvVZo;B;C!ew4^4SNW|Htf~>x`2gm{S1!fa~UYy+ii!>Je41oY{3?CvVOSt1^9C>_1U;C z^-n-$>KhuHrc;V?wNv5m>470ddh;3XA)JmOoZcdV&SCv~DEq>V4ovn7lAvD-uDbah z*NZXcEdDZ(zPqMJNgKv z%qESRNUiRG`$HutT`0fL8kgStyv>oi>O$QxHA+oie79O}(5YMTA%&l`T3IPraD_ZW zhc}nb;I0q*hug^%ckfGAcozMgM=@p1cA^&A=&b zaf-c(UX!)=JGwXG6!I_RXrDIsHJ3w@?&6_af^2~K;4Per_`&PUYo;Bad7>+3+V|*S z>-rMFnd%k{t(kV7Nrh-fVOnrLk0yiRi~b}?Kk_$3?fB91+6DqU*06JP06?O+qQaME zijQ_rho}&YGZZY?;;@5PzXJ0y&#Lqi4s4V?7T@$|;_u zkOvV9(}GNpunPgTdg17x?x|U;7dZn$NlAnHO+E;v{DG4Czo@LvKa3+I|I?$7<^uT& zkApy!26@IQnZy3iyxoU+uK#1+V=fBwSxiz+=`4kG|68DH{U1j06Lf~V07R;}g=Q)} zSVx#~FH>1zI{?*_sSJ`kGU+Sf<-`GwB|#%~=Zhg^WJuMgek)tKeQ0Sz=TfMu_0^Cl#0RwN~S_O-ZTHK2{7i3ogE62jpTNNqfHv}OTNY5re!THb1=BID%``q1LP;D|?)w0B zR()#4Twy{ciYT`j`!P((oaL<4$GR#%-RUDQOOXG_%PDC9AM;bFO z8r%0r#j;wjYu9j#*-3!sPs{g;sek{pbOpx7Ox+(D`Cc|g#wGTdJ?^sls+GE-6$D^B zxfXmhZ=mG+EShbcxo+0WmJYn0l{z>sOGhog-yv~P>trBDI$6JMa33$WyAz|NlEZ1XIa^9$nX1jsm$9BCtweLVTF7 z9rR%JZHp667gGUBOO4h+-pGglutjK}H4a)_wb$D%`^NyN3x|slmxoWC-tT|C9FUNX zvSXAxXETqRS=KaI+@evfqhp)mBvFZuN!e$wMTDzFj*Q z6xu**DyN{NUXI!S12+<@_~)TYdO7fpxi{haC^`+T4J>ia81rkQ$dqmUh-#q67JqW_ z74znH!WE60!4CG9G*_SD%tiACXpb@=9KF4}oxRcJKy9HmF)G+EKb}7*u9HAtNe^;8 zgmB?XSzp%u*EV8l{r2nez;$#dF4NKywD$jTfc%@fT_D_1hapm2k3e5aFMOLI&oHqQ z=+^vG)Gp-BPy4HjG24~$jGHNE^U3$LuSPWCqaH;lOygwrB}}tT5cDTwTaoq-(PH46t8DW}JZgwtDz;kFuZlIXa5cFrsjK=!(NhH!MYoc89karS z%g;Z9Uwn?gt7PL={iNmm-m#`_8|4i+zXy9o0H;-aMTbs{+1gjvDmVPnRR1cW1%~1s zB16f;6XD77c)S1A`}ajU%rp7-XvQC}Fp=iY8ub6R;Y@+xy@tcKYrrc>uY`s-q=~q* zGTia-=Ize!#K+@jx4`K!U>k9_#z9pyXH}Z!gg$tB@`Zn*oV2WT+EJ;7h9?D-xG_Tw zr9I#jq+R_einwox9JJ+vVd-`j((x6|<=&<$E_hVKPw7Ql+$u1+lRo|`IvN}_J3xsc za_{_8#J}DY&6tW|rZaQV22xMe)=&0IrNjRV{Pcaw4pcOBWpvbHy{jCJKS2a_yfmx@ zgFAD*16r0RZAGT`_b&iP7f3tJMOIw+x zi>ECf)G)`+wxOI*-x*jpI#QIkR95NYV9te#xhT(go2+DTely!xQd;BSQ41Xg$6M0Z z6?J<3LRmXkSHDWi5px&1%z@xB55*oY-A0cn*M=KrREm|^ObMK6oVY>+%Jg#c@eJXo zwc#(-bg>`V>Yl*#6|S>leq${!SzR}PGScCoI6%(n{O)7r`fQtBwOO6qW!Sr#_1wBn zzfrZq=gW1(9a8b7&EKq$oR`YtDW#w|hUoUxVfr&=g@mN%+{Ma-+G#$IIB!P6@iT7P zpwKlmB%%hku_!QY;{6+?0k@qQ^&9qTn@*^Eob+=pH6(&Q9yh7<4Y;3F_?u<&_jM&5@?qlG3l9rqFz6Q9+E=JV9xi& zaxpekh53uJNu{+nsSKD=6J2{$cxQ;979knbY*d+6ca-| zt4cm$JAI$t8_vl>pHRgfi)X>j>VpW*Mns8an~ zU?r%CVV~K90Z9&daujF}1e}d@qqc{Z^e$z|9pt#zPyJ{*upe=eF{mgPuKT6ikKv?yeRZ-Ah-f0PeG* zoraIit-~lAJoerT{j2zSF|>T%sdmM7{od29OUDrjARt@tI@sbjNZ2~^ze?wr&-?fI_CebKW6>~d_d#oGl-Ft`_3IM zqc<3kFZloV$KM-o5>Dq1%h#Y@Cq_76>Pw11Xr-2X!*=cB(@u+DZKvJCm2m_!NRxw~ z)?xYuh>XTx(a0#|2s$2135p29@>dbKb`R-L{Rk6e`T;8*#uS5jXiUd%CG&^cs4gL6 zzMC5A!1A*p@yl90?9pibx(ZTj!T}d-OpeJXNZHIZ5>91}$0J`M>Py`q-JH(E~;qC4&;6i!$MsrD*6kAr3$ii`)WZV|=P+bQ{(G zMB$H8%#|6r#vl77bSsk%%ac0^5<$W zWx@mpT4QR8Qu*Nchz4<~KC!*#6$!{lQ}d!bNJZiD*!;wN9&Txd6*!uNdx(h%F6C)( z&T{2vaB31YNo8rJAbJXP>!`$uAp(s@S(~_t6#T6{X4oRbc;(NuRBP?3^6yC9`c6p3&n(lq4>RmK6J9L6NL zS^MUiyCpX4(T?_jZlF}S7wzxz5k#RZRxC4;M4a-pCVP?qC*V6P-;`K~Q2@s+ zL%D)SgTrBw66eD~-G)Y5KnSm>>AySLsx-0M7Dy8s-4k#)+1i!;d*W>qG;s>yY-R*ru>MG$zOuRgABT!d~I zYw3EfQ_wJB92%|i&dHn&aD<*PXtQ6kKW+L{3nHtLU?3LWS%8S0Jt!ewd)WAE$bs$g zv=Z>Q-#Fio9LlPlF0~l7?Y-Nc35zXFfbQXwiA+nj=%21-z}cy^5l+~5DVp8DP(dcn ze%^Onw<3P#6Z&>R@_}h%VS4A&_EeSqfWAkW!JWBFTY|}S^Nxu~ljOuSIqhyy8qs`i z?|d<3W!Ds}WijtP`JKkP=6bby>esj6o-rHEy+O}M7Q;67S76KK zF#B!i>uoLY!;-mjrk(tbr}9l$Q+Z+gZhjUtQ&hN0tgVaG}tUcb`^i^;MVSqYsxEJ zZm-kf-TJG2uiWEGk~?v?Redr}!PVMj{R$afVh!3AJ}7k2yF`vy@6j`@tsQ$IRy|>A zab4;dz9;El812}x-Q~x8-b}fCrHrstz46>)w;lKTdh1zu@9ER2bN0jZ?@M#bO2g^m ztL*y7A%Kc};|_x^Dfv(D+I_I--ACgA_V?fmcsEWCG-vlf=O&sGg@+e7G+b)yR6^GD zEBEigH@xoMN4_hTjP0e^DRkmK0R^W#iCgzz7)Zs@(xt=WyqkURY$18VM2C}GcMmRa zchI58k3qx3JG-;|{zIlrm>B;dljQdYrdUNsjg;lR1r&&iB(B_{xZOb=9%O~=7Dix- zm`quco}ke5HjZ!&F}}eT=@yj4%%yO8e0b?CTRJ-41#V!(|8wKHE>KVs zGH-;#FH#5^R~9U9ZsMLQ#HZ2(Yi`n_2;t_ekpg%uQ)?V~Wnnp)3O-e#?6;Q;IpzA= zSLpdH`+^cDFtxe9FUl`s;b&-BNDE47In7?|Kxew3-b7CI!IUX8ke@W7dmVz3z~~)) zzx!QSz92bP2{428JR=t7BBkg3GM~l3ajE`RGqmAn`yyQ*ogBIya1Fb)^*AO21QiLA z$fXDP?+*?XlEw_F%Sclp9L&?>T^g&S{ALJ_dC?e$dlc4&CC>{-%im_il@)dzlL$LU zgLZDkKp^ZZ*lv!4l*44BKH${hhKH|=u-s+0U_^b^}!Uc+HAYGSbd09Mqq-Yj}KXT9|ByHJUhHoOU) zkX1{X1~3TOOw(+GAB?w`N=>jFGyf-suUb6N^p3((o_+0=$h~eFgPQow)8T-FGNh!0 z^2*<^7)nRhTB25jq4+U}Gc^Yig87eWgZH6ocV^OxGdH=JO*AAH^fCVIQ3D9l&6f5?gbUvn!oHtf7v0}RR$)M zzMOE?<8=;erW`Q#yzg2mJdf=CUTNnd^S5@8J$_cXNP5KK(@WS*H_7gKK_x7%bGP70 z^(-@hUO0XSJ9?_APH@tp250*}OZh9F&%hc-qxZc=%|D0lYUW6vz=@AId20`qsV2p$ z#$_qo&1CB5)qdHOv|(nAFXcr2K>uVPCnlDuT1Fctc>~_EL>W4^5dl+PMXUOH3&{fL zbpd}%R^(vGt!IB_rnIv6ab4UJ+XQ%iZ~$L_ z;6Lr2m!z1=1W$v%6786FwZ9MPZG@Ls@X9y0Rz2|g6b7N{e&xH7l)j(E zWGh^NT&gr04ukJ_*TvrfxqSe=K1CBP*iu}x!AePm%q?$=_Qcvz4+*{wXF>YzHE;8s zk{@|Wv~|_qRuhcjsl!pl^1-17-$&r}`t$+pPq|JMuQIzPe+M*b@DDOdU&cK4Jo?ck zeR|QE0eW)WT=3|X*?s+!undtBbIV1iga>_ci*U{CSjfjnvtjB$$V4Er0a8A50i1B1 zTplr`2?3i6H+HHF*B(wEjLOK`PX*ff3ttra8mzOmUjo=;=5VOWC-{u)#>Q?~bmiab zvSbTFoMPsFiC~X~SD>5({u#l@CJa-=8CvH8Lp@Oc=<>P{Vel|e{53ZQjt2LKOyXzl zKm|+hz_|1T;nUy5F{%LP#j5vwsqNAtmL%%YQ(jw|IGo2;)z^9f_~-PUex0*3+1Tltd1CF^?~uuZ(USkH z{2F(n8D-8J1-z^F4N za8zU>_0edGQS+J1>Px$a{rRN-196)h$t31x^ca30E3l*LhxgS3N5E`6i;8h$!bpm-J`6ymg+`q-DVFo}xK*W@t zR^LVnm13sOAc)7mCuvfG|Cg^bVS+(MBPz0^;Zl#ZG6N*aFJ_wp0X7_XB?dkXrR3=t zFqSimhL*7&T|mymq3|#!W4vXz&y1@Z8P9Ag+K+ju_iK7`MT6iaA(y8qJ|6mvB_J#2 zb!YPpt03$7(p4|&;1Z1K>K9P!%8ldo?^n|w*Y>jkF&mb{Xpt{S)tqSbN?dO42$3B6 zW=x6lmOXOtpY8Ktf5a{YcO}dut-qC${m=|)bBbibw+lEa;0`QSvRUq|u&INL4xzEM z5EmQT2?|&p>P}t#B4i2IcZ|`b0`)a|zr%(}sze2cHKcUM?cv5EMqB}yAUU|cEbtrf zbec?feR+MLm=ol!Q9-4@SxH89AJJ4J@ITx@VM@fL9U6?ut5oD(5#tFeI#CrsYfjMc zrlwa5NkN1qZ~pJ`QvX=&`-KJ(9Q*?8w)3j;iC|q`jVb}&SIX3fg^*t5TSh|JJ{4SYD)kk*UsCh)qo>h_H<}Vd8^Jt0Cvhq97!@{^&AK}{e8YOFgteh3* z50OOEdMUSbVEcahYt(B5{=6N&E{KK1RmiAf+FGHkZ$H<8yxu6Um=jQeM6qb99keE4ZaG6)W z6r8uw_$gZeoN2@p*BlJo1J6*%wy^>^V`J|Ksms=x)~0K<>iu`TxwO%Zd~Me}jlkIR z^cPqBrwFjIv2p5D;uO1ZJkL)Jmy>w;LvA=!`~Bf8rjhrL4mdMR@=Xtu^+`68o5C~u z=embt+Yc8W-lK{xb?3O8PV&L(gsTYFrs*~%*R8a`{&HI5D)+LcH|-{l{GXkS)c~61 zi}9XY=?(S6qq7d@L05B4_lbv^R%V66Cf32c^NsYw-z?TsIWa3`apTLQd%t#~vF~jY z=W_5LRRp(Y5TK8u} z;yPm%&^fz5l~HNEtX>Q;>LQxQwpQ}~Yw>$oD&R8mwyg*Ex$X7IO&MRCjx1OE3yFrr ztJ0g-gEGX{#V6d>A9U8uZ5KUxp1b5FBo!6rs3CL5Rk;8UKSG%k6Z^J%QV~>huzg|v z*8q|h_t1I$WcFoA>rYXwl#vR`UlipnHNSj=1}3+~!`RaXH6@iSQMUTjQfr?Klp*-k zEV_-kq@XU%mWm3Y(2PjT%lsn3B@wSW3ZV?^=5s~J6^8x2#FfhY;3K3E`$4}t<l_qpDkM-+I^P{67mHV)Py|IV0I3YMmk=(EsI93A zSWF8<&HK)aVx;FlK30+%LJ)D3tmKw4)3AMm|EDQ74<%p`zLJ|&%F)ek4UahO&!>#q z;1?5Kj<`~y`~^na48Yop3qL{Bp8wp_BiiCu$}HRbFA-t503+_pLuu;TR#`t30(N>f zq2stu#^oX)k2K_*t-;>e;2}rrFl$d*j zw4%x!AH3>za@k_oablEJZDX?szpGqQa1tl%L*?&6N<-}-w=uVXVl#?3JU5K^Sym9< z8Z()x=SeNTt@v9(aqbP*CajWt0T<&HQMhm!{^0Mx@Qp` z-e|+5P$fY=Z0JNRNdXhx5j|TS-S(>RLh(e=C~1EhjZG8Z>#!C3ui>yL!>VW3YmONU zzdNRqY_9Y8hzApIJXnicS&@6NdMoaujV}K^0B(D{2Zs>8p3lFMY#hJ!XLKo9 zyndt^57SF1l`|${ip96&OFH`DQ+nN;m=a?FD>jO`gXA{2DH6^!$EC1>%5sgPW{zYZ z0(efQvNo6Rob*BH;dYBnPTDR~Db z$Vu%J9!I1`;>{l8vn>=HoAqeRm`k8=YkHyP9o;eQ<#+%vv# z9iT_Ll-!`oxI@2coA$g{%v~H*yf5?|c^LDqW)j}!Z8m#k#c<&ID2uX{tV0=={gq~z z4Go7`3S15f*@a8}@xmj!xc`O}s5))7kfL|x@O}ed&agcMs9L;z>Ie(mkZi{KZB>4a zy*bqTN77qi9owtzIxhsPY&a#n z|Ltl?B(-1WP612_Ozf<9*6cp#YF!Afg%#87KVVc(KYas` zEg{;@kg=Z|R-z=WO+^QG8q!2~>{!2IT42rCGE7`vcFqHvZ@I-1dTDdE$`&};Vdsz4j<|Np#)LW-({19za45*1UC?qDYw~_wEHa;LdE7TU zVwmXdd4;b1`%6}$phc({>N5V~i25*QZ?pWi8AvcNVkXSm6X&xRQ0PKdh>q=X3yg2O z>WAfjrR3ulhT27yw&_VQvsdVXP>7D}af^%xo-g}xCu&~hgX8?%0+ll^$sfjR?&U$q z=E!*7OLClvn!oZORnXh&#fRppd~mE^vzM~x1^Ll<&AxneOiy!Q{FjRl&CUO#*>+Bj z!~bf+%Powz^H0jUAt9B9?^T``mj`S2pVYfc^5)(1I3} z5y@zTh|{OVLP4%&;?{4123RxZkQ%U5Ou=Ig#MkWxgTDo~w1}=Mkst8Aea{tQ&zda@ zF{R!iQ5mES4oK@r00sz}{^nqKe)?ewLXu&z>p=Qp(?l4@8H}Q-2bi1M9c^xTj=+6; zX^GaIOcNOAeXeEd894u093HaeZFRKYD|D(kF&TE^90r1?T(}B88_`6KBi}M_-j~! zp`^T#8wafkaZu?WyFB*-LtNn!yOben0?Y`NbQ0R+UE@9-!V)J{`bqQPQc%eeUEMQh zm1;%jGC)GIpCDlwNGNX+U8)(J80G2?WX3DielFt9Hw49bV?SP6VgRl1AT)ema@j3K zh8AQtA)SmidD|F5m!Zg5mA=Oegp7`la&7rA`?>4`sQmwcCLkbJns15>4KAk4I2q{m zCr;Lm4nq;hXV3o%^nZ~h|3hkRd_<}ABT7oOpeVJ2q7)qtijtI*;RIV2j_g-1TL%{A z0BW&*J9P?22; zaVPDay9mA#qCjIIg+b63(V0A36t^XpL6iHSdH&alQ8^MkZk2p~f=mevJN$R- zuFlr18OuO)?fI+5GE?lubm5FU@=a}l$FT^!$#vDbbRD16JW5H1YSYVuUV5L_m(_$z z1|swv4V_A>GJiD_ zWvHxX5QPKi3#0y2<}Z$}>#Qw;Mz?N$x32pw)ExxPrt4O9O9o zYOfeYTfYeLL41`Dy%6V|E9@LnDyzk&9FrffjL|qEk{8U9q*EMS9ueqFAY8spzR8OA@ zCQWvT`!!;YWCO zKKBS=4ytGIE|5@~u19>lH+`qFq{Fg92i-cUh&f&NX>jg3ZgDH=S5bPM&wz89oXgrI zo*Dof=5$_*kl#4yh~a4`7$HRJc|m-Nb1IR=WLHySFORoh?yL3dWv`TV0?MSeKc0n4 zg%_}j`<0H5wiN9VRTLmKNC%LiL&ATU9ky1|DZkj+mAXN&(wftK2R-ICC)UU}e4mRs z1O3c(qfQc3_@DBUolKR5(6bB|hoWYWMjlpc7(7ulBIcr9LRlb1N;DD@X0<*Sqoqg$ z5$MrYe~azH2SC9V#K)(uqKHH-!YFies?orITOHr|Tj&(vs+9bX&VC@#Dg}~T1Azjf z>d&@l*A!+2Q4i*vdoFRlXe3=RH+khbjZQ7TN=+LK`ek@g8l~NUgKW8| zb}hatNQ40rf2(jH*n{Yj1K@l#5C+-|%}Z&t zYY|kK+6~DUF^xh&CwqN4Gn2O;ojSii9S4)}XH)}2ZDyB9mjz zAiy{8i7HJMoV=kH!x~8!cw{kS!2=DpA|*IvG_&%rp{Qd3CR#HPGwRv}sE zHNOe&4(s~LTm8O7j4vAq#W(fkqsv)@?ECtMqSds;QrXkvY^IX3j+lmf74HTW17)aa%m_LAs-475H7V;7Njb17^3>OP1zD+va_ z$?1pefABeS@kWxRcO#8~_yd_hE}+@{2$F+7_#e-ikWN5WlSeFJ&_IT+L+4fvxbulg zB|&KBtPP|w5F_3PVul|imyf6uBm)6(Ao9-@1N?blM8wcyPsC7d0i({M4mk{R zqJ|Vn?WE)E1 zPI=YG@~!7E+|P}Z9+u4yHyI3$QUi3F+~Amy(+@qF1P)00jhteANwtt%ZlWLDS2z1%ZJSC)Gv!SM@-OYm9`{58qLdPrZxmi)%Vr_Y(Zz0JDjseSHXM&;lRBp>uk0^YDlX2We-9>WEdvf~TxvJe7z)3pHZz)SAhRqwmjQS2mcxK- zJN6)xoI&tDkn2AqcF|f%kQ|)ek39B`-%ST(DwJ9AU4ih0rA9d=X++=wldZ2<^R1`) z==&jb*JR_LWh~xRL%{c|iyy%C-m9sU`gCQ-G`^k(`(-y9GPq6j`85L<(MTD+jz=?r zULPmkKS6|AgT&UAQul{R5#QHweY)>fP z(&7RzN^>du>Au7Wt}RYv{rd=Wfc7N_w(DXALk_pXV;^MYv-9o+uHM3GpE$VsNK`~1`)QV%oN$t zYEjNQe?9nH+RXWhMZ?=+en)&;WUQv{r#&P9csi-(SgNpOwD0Kd_}wR6Rnz}!>hWUH z)zNhulAN(WVf$`#`OD6Kb-}?EcsO&JCjGwrSb?nD?m$%ce3T-%Sy{CXOlU_$Kq_tUC7#nnKZm$Ti zb;A^3TQMm zIwWd@w@TH!z*a)nX~8~SxDe|6;XLKz+!R!u^ejv7L|UoyjO%}9(IV7QO-88WdpGBB zZSSn}kOz*p%cQOyc;J^;X;a?krUCkB?O?cSc#J3e5-ZicrKd$gFQLJg-H>;kbs+dUXhaF zd@GhxlDAIwgAIA5M@TN##Tdi_p8Kahz%QNneE2{1WOHZ3#_;a^V% z9|Rh3iwW@OKbuQn!2x2QX$f3$T#n!y_zgDVjNm}b-UkHubH~@wpa}y8J;T*b7JZLE ziyC9*nIXlMNl|5wfV-3!M)Vt7?{J2Tw{26{o@+m>p+*Fz@w5 zCOy~%!6bPA!r&|3>tBE&GL6ypV&XPBTHos!TQW&|hyLIi~_z5Z2Dbo)t05 zwW~Pwn(Rltn%+(9^DI;RZ}5b1n;TZORPO*4c0XnS1F2g-Fuy4RUS(>NoE9P}Ieqb9 zxyhP6yMX6ytMGK1vh(G+PBjegk8txBlDnP8JiSka76)Zz5S3mH&UE=u!3xhoD$ou( zoM^}8{0Xe{Uceo;PeXG}6(WO$RkW%ceSNi0Vz?&GoUw={cuob)YB<|95gIxtj_O*! zgpezsQ8%KaTUy6t2OLr&m%f4Px6hac3fx@WJtGov&!3h zd8{DPZ8V5;F^J<~p^wKp7(IxqBjshFQ>Fl9c>*VXiV4L}h3BK&YsK+X($$gu&c|0o zQ6lA_GmMo~H;x$zKO3&3{x6p?5ko)+y)tXX5u{=M{Eun8GW68L9;7w;pBAWf!wrD6 zFebv!lt5Z3nESbkB5BQ)p(3Op>$Lw_|7h758JPBp%5+ZpipQ>;LsD6ES?1%>M`cFD23fP=`eS|HzMChRLkR zM)!Zq^*QqVjGDKDMv}iQd5OJ2@x`=>L9DG z+EV71wZEL=NFgAPBXIYAC|{r2JX6an%QHmI@zqXN+n?)t3EFhfb+(kV|3JH z?F=`E$Jw8wtxt7jaZVLGD1e}@1^Ifxg-`dF47@lz7WK;HAhZ}qHS4X;%@&KH@ShNc ztrkSaZk3;oJzfdbX)C_s3-wH$yF@N*Sl2%^to#06!RN4fkfX-##Tdj(N9kaciGxwh zEl6USzZU^7t?3QEUq+Dh0qmiSqsM%2=+R7Ba!-yBB)K>tgKZ%*l7>-FF14 z^k63hezShLCa4e0qVlMiZJe&@_llJM#u!va{VVVt#^*-O{m#qYU;y+XDzMG+$FY86 z*wzl4p}X?eH29#cH=U-wPuOs<53+04gRk^z92jWU)EI=n=5+U5Xn@u*B?@m^)if+L zf$vAt29Rg>)Sa)+U<76jPcQhKh?Gs#!lva#q4!2UFdMp z+Kl%ydV78Ai$p6S31=4prh9%DUL zk9A8)k!b=g3R=-=p)o`gL*<%q3g<2ZM?o!*rS=%B&*>>k5%}LEv%UkGWL$-R{`VOP zrwG4veJWKX5T$jPOcPfjnXB+}ajeUkJhi4`ncoJs=;w0z{vWD~o>hw)u4cT_<^~KL zHoW2WOu7pezHnlRv=89~AroP;7hPHx+q+lhAF51Y2*M~EI73qb zOoeP@_oBzG-Ybj>AVTs5b`(%iO8{&M`u)>H@52=*u zi>)f^^|ygc`!*0w_ry131XAgw(ALsQZ0E-lfx*U7n|Krgo;|d%<~(c%p?g0m2Fo}7y@9)mKGFTm5BznGd)eZ)c{UFjcNo#L#}u??G_ zaWUQK9POKs`LWO8N&L2QPfF{+ga;rhYpELs6jG$DF9}J5LT@(++cA@#}K}?gWe ziXx%X3g2rHGl@AHf>6pa~7{JuXVl)1k) zNeGBtTh+b{obxxYcWJB$Xk&^+yD(Nfom6R<7!pxvRy(_!nBOpSx`}YOXSi6-9m5-_ z@IJDeAH?1M1L>u&-d(4_T!B+9BsH|I9A-_Ph1OB+@Z)@*@(0>v8SErIuodHoN)gFd z>WkuCi4dP#1cqWpP=ne3Bkt9l|oDmP6M3lEIWMR!~z{^yNW9h zM>&hwJR_e}&hC%a83{Op9x$;|7oCYKwV&{M)wZ&tN35?bo{&l^j}QHf?CZ%ejg4J0 zL(uNl)E#k2v~`uz4gB?||G4B9w1WWq+wHge)jc@VCL{h8nE1F^?L%|Tz|=bMoAiN- z!x)9xsVCGD30NV48SU#jKIF_0+mNu@Qtg`(W|U7t3x!`A-c3R7^vTb&CM(l!e{n=* zLjCvee8-ArdE_)jkpJOgzq1S+5|Llw>2Gp2Qn5hH8XAu*NzXXFz-P<;+9UMv+)UK3 zxJfns$~7+j2^f-n(-S-W%q}qK{NnPwu;`>=m5UW<^&R9} z_f&JGrF#}>q(_O%4<-cQbWk~%pGm2yifEM~D~&P7v-HJkh2u-zD>3@%CMY!_6(^iZJRt%O-3(^swVigRf5}!00U`LCWuL1c{OHxj(p}oC6 zkgwT6v17_f$#tZN>p{o$`24fdElDM@#$S!iH5@Y_HUDtpn;&Gpp&^vG;$-t*zJTDTOnkbKV@lWl(ngK!7QfCd zbQ`Q}x8!iF`}cQb3U{TVap-bAv_nNdW}-%sQ~mZrngN5Yd=iMsRmyos*r?LcQK!L< z(|@Y3aJ;u_g)Gy6EL76&(~rTTtg7-gsyc`yseJJF{W-hFe!*&d2Bq~)@eWv7lKkVE z3S%Gb^O7I^gj){xw6QqX^QXi19)6`n{C)YYvO4d|5quR}C>ov)uR$(a4HJz8h4MGM z33Wy(U*k8#pXf=j1~s{~f6AyD5_-!{Pz*2vvg=MnwpE=WeHJyxDxVa&)YiK@lWEgH zXRWBpRcPasV+l+|I56bZh<*SP@gPh(NvY_+N3=0YT2>g=^l!A;s)VD(w2qN5Ru8|c zK8&KxnpcYHdQ)eX+vx8yd>%{oFSN^lI+BjZP+!>2856@(sEMMk;VpQlGUP9aJ^ojv zNklAQs{Xas$Ly%t&^u&WH)slHq*zl>{usv4J4Quyn^iuaW--PQ;PKbo+{I9Ph(xyy zzl|z${ao8AL0f)>OS6b^IwVCPQh6C0&f+x~*4Y09Rb&);%P+4KG$k2VthuT0j8NM- z^r1_)2GZ5~zq+GgX~~+KxlSLJXh2e`b&S(5h0(-|_mX0m0%S$$hTZ}5%>7S<6{WqV zK&7!trEMYl5XvcUkltD+$EmO%ag zR6gZad!>wPH+e$!QI`0ySoJf3?w1AdvJGm5AF`ZA!u-SeZx8TyHD;~@7M0y z)m2?xUD7>!de;{a6i)$p%|iCx%TP!U!&RBfNfp(y2Cz-nh)E=Uhg-6ZRgz+t(0fA; zxlVk0cw;a=5LBBaicIHaQef6QLXa|cGY-%vi<+12eZ2ckyEe5-1-=eqtg-|D7#XpCc07IsBr2qd(iG>2{*N0+bgs)%nK-U8}7ioDJey6*fzYN~!~H zU%pe)TYT3kw*NMjsOi>{3PryuCNDc{=Xif!Y@etKv7PD)Lh@~_75nbeV6>5`Q(J*6 zqAG0We~nf#sOk;kdkFvb%oPKBR=xjPykv$e#K*L%;zm>&k-2{@1uHie%&oS9m-otq z0gQgZ^&nIPLcl*fLuy|^kf)voWL!VTT5=t(Jf{NPNreQ z#T$1R?zX3`ImzRsT6GHPG7-Gl;a=8>H!crtD4wjMtHV(@99x1~Ken)bpOz!-VG7`5 zyv1~08*$i{GDy}mVKT?_Ai}2<@N#nCKP^mIS5EPo? z$fZqrBQQICUd_$Hun*r?Yz~qpm_6AU5H=ekl1sxOs{1g@sc6d;G|7?$A$>Q~kHslR z!{zmXEQpyRnS$W~P-QVz5xENPAyz92Tb+?k#+(us&@_)j!2WH4!^V(v??cR2 z81tsBC>D#VM~2g7sFTv@#)V&mH0Hc$tvA|;(Qa~yPh9fXxOaZ7l+n#%0X92B#yyNN z8Y7~oY{9LN7KPX9_st1xyeC9Z@GM4EdLf!D!~NWBgb&Dl=8Pc#MyecO6rN;jR<_-P zqE-|P%D|0j9Qd<@mNECU;Ce(-9Au={cmhA^J3~?ddvi5XH>A7 z?ohw@FcJldl2B8oo}{OS6Qhh82Hdn}OI+(S(h`uR*>meXc;KY#rClavgQme4K(QWrZPzmz@c+jPt=p444`us+%* zN>c1*N>Zd*m)c#ArX8C~MK(s8MmD1VPJ2YP$V9kRHcvgXE=_WS=_!{9Y`m*q4<{QnEDM=*jmydDm1ya`tqUd_4R?Kj4j{8=!8|fGT|V z$cpdRFG>|?yRbUnsBT)zsz_Z~@K=rsF+H8#a%LaF{gIE$N^K`RdO@UZ%)>9@2yilk zq+mR_ZRv3M{UU(r?CD!|Zqt0T9;w(x2vaiIf3>vofGG^K17W_(wfZjHdUr~`BY_%< zuX3fyF|&j(GMdOkqRXBr;3qeh;~g8rxk86tTi5p%vHptAKd~>j>k z{)$nQiN@HP_S0QFn97j*S?Gz31d}4JRz_mgSPveK(#TO&HPPih?6slB(Jpxapv@HL@A)^Oym)z zpX)Tr!8cA4naMS#(ZUiKX(%E2*yG%IsESLF^*vQ1-m^A zDdOCrMEt36^3?Zd*BEH)Y~}#F;5PzeFLqPkhA)A+D={M!qq*|}n>+OoEDY_jc%ED{_hQjG{J7JZ5h`X-J|$AK3IQBRAPy}!|e&-RV4%o|qWP&dZym=!PY4z5~; zFGA0lwV8r8x9YVyn8)2WEfpPilNTaa3Y{zm8=;-D42EgU%_GYcR8oLnhbbbhh$^l( zVaGhgsw8}!{6VH}<^h#fC5T@Zqk51=%tyUM$!Taj6MfI0kj)LM+l*wt#TqOJAWa)A zXbvb^!G8IM3*JgFCqPN%);+72?%F8gTdpRkU(TBxvH!ME`=;{Ur#I9iA6a!M5H|uw zRf1MSA>ZaC7l!9odROfMqtC0B<*C`;2sr`j78{c!leM2Vy7sTlNr?dKv$2%BevM=E z_quVX!GXUx^WB)l8s%o@yr(0c=6o`}I(%dSHEUNAk7zug3G< z7HnJaa{6Qa1+&-u!Mi9YSr4Y1gl=;AP++g}Z$BI(#A)~4};?q;;A;^9HIeZ?5Y4`RmxpV5Xuna1>W8h z-}s-u%5kM~HZ!t&Z8{iRBXMz(vys0xK7K@El{2%qaJ3}o=6v^G4=U1xW0&hOB~I>f zU35tjD1@-6ePoM?HFa_6s^elezRBnhm&ce&stf^we=5KHK;5vhmBas1W)^RI){V(|lD<*qTBF z-0fef3NAmsA>uw7WmR&MUcqJ6!u!RvpN+#Jf>)cxjcLz1zEAfn((P~_j!QS{_)DwT@~YvYN$>f{ z7PghMP$b6!f&8Kr&K)e-K4ZmkJXS9BtLyi_El?W%STz^h2g(bT9~V#7y~hm1qRybq zYx*AiL&pzE{-f6sPho!9#(-NsUo3eOZcJF7q!p=+zoo1Cn*E0p-Ba~KWIED7tbDKD zxGgMtLVL)eJKl|~H3{zDv-8}rj7W%#f)Px`)QL)Gp8;8|Bc;00`swkODn_BvXc#N0 zXj4Ym?C1o)`_Bvu?%}6)1_AY_z8gwiGZjfOx-#784G`O#0eyFNbQzI1D^AQLbVxL6 zM&j{XSd63&h)nhN59Zh!s{I5KocmhDGL_9ZOrv>PT&q|bL4pw;$MRb8t>(R7nj^%s zU`q6iXn-c1&_1265PV{MI@*-V4cS?zPlYDR^qrqZ>8Er<#5do{GcGH+jX87^ZUoqP z5tV4+$W&_7gm5K}FKR~FW+bnO?$&_H)ULMD0)-cV zRXV#x`Hvt_8anUP=j=WpF143^(gb)B`KYUY%pOvX(rA5L#rl1@>9n-c7&F->H~Va-a=)0Yz#`6|fc39%hmOx);GUBQZyP^9LH+`;a(`IQK-BTVjvdO+ zZy;|iGTX~g>~hAj`dGihd#U~Xpmdj)LEzKB2R zH7mwy?7>)&-XFOgUXbb?sZ}|wGOV#)TP*cXSCaIxbeuIO&UG%^U)wjsxusm!kmV1j zPJ3_#_M|`m6@0!PMP6-sY0^JyEzxaVy(ur-Z!9qeSUXA-*ZRqPeclV+^|!qfUrY1; zSkOP+^Y}PeGlDwM5ML6j`2_43058LdYwfO0R4HhjZJkrcLnKSXd0;WFC0l{q66{c* zHAs)A*Hd|||6qQ}ds|0z<|f}Um%>}dZ*OOut@#X+CQ#cxGy zk`u9u-ahq<+rLj%p8Q1x4-Tz8cY#?SySFrdXsD(WXDvve$NkNwQ{67YbfOnVlJbPg zUx_am;dfUE|y}(;2Y>u=4R36d}wqKdLi=CXK3+?q>~b7YTz-G59y04rhBu_zy%E*3FPZxhGr|8{t%^R*5LL>Pk{Not$QU%` z{pfQsEw~}o-fX3>xKZa~HDJx&A|odd5p@pyH)fV{JGc@ht{~*qGlYk*4YlbNQA5F6 zBa%bdMo$q#7aqTz><>2}_Zs#ZF^YL(!&;p0>wZ4M_))qTYdFOoKi+j0+%6Kgj{QX%V* zBun%h%W}9)L_-z>c~r>FRLDz(W1oic3+dyO>O3WPFmWRrzSp__BTXeJjWIXam3e)j ztBqKDet&?A+1&tZSX2_O@>M|R*KX65^FGqd@FWDkup*e3hob|^BILS_Z)mElcL#oz ziu|{Hum>CMFdFYf{+kx~4{<5vdk#MuP{PE2B>d3}4toVEp(^)3W2pWk3heFxM1rH~ zdgptNj@jtDTYX~WgfNbubT-TQEQX5iKDf3+k48gis_seE-EsVJYqU$&LmN~ujn&nV zDcG)|`%!c)mnJ2HY-7E?i0ODTKsEEkbA%iuv;@^6*neN{;m?KTedvU1U^`NZ$WSB!u zjeFRp#a$8eN$)E^#s;9NCe1q|=0liir4M!mFvyiQ!l=T_gMi3)2Dw9^0Y(*>Tg!LV z(5MrnvPaB^d;dxW1yb1}(xSt@QUM@U9Zc-EYo=jS$_}>aUMs|W!s3dL)1o{EKHR^% zB`&z-2+5DZ((qiFv~U5uImxVZ+X52Fu9a8@oC6f?XlHIg6{6lg`Wq#oE)!Lnqxh0L zI%=FyS9QC<;Ea!z7%^D*$Fu`t`uJYf>?bFp3OdZ2_cAR+Y`DQ9h^j(pC_)5MZ1Eu? zi0Xk{Z!#CxzF8@`PzzgM(4s&dRdlIQBGJg9#u$N4NtFNUl;;D1kc`L_`L8bKEEP<_ z3{98+>W9VAxT0EcpX7S8qk7J%uuKq!e}w@>uL%Wit8b#TODMwXHDh_@_G2XYlc~PC zF|6qT*rxoa?Isli$&4)f4_oVKI#Dfj?YQ2icbbt8quI;~$Ky5o(!ODtF;D@#HCSdx z%tJp@EKYOcssrO0Ql$UO9!T;JIXTUoym+qn36Y7jQgA*2CPtI7WelLx!O2l}a^pcv zKj+JtP{PtiPY@#S(jPetaZ&Ra#vqngL1Z$^B}??e$tm$Q_CaNGu$dv@@UaEM{MHf@ z0;?KP8AH=1{~<&U8u}GyrDpq!THP<7S<%dR2&Xg@GFHnm9QM1J(GV9ik0BOvd6i!! z$m|Em3@`=<#YhNX06oZ0ni=H$Q(Y^G1NOl97d4))38ayB5(jE1YEsWv8)kB5WYv75 zDoAWCZV+Rb{C{?P5|-1hnT)I3#IPcqjdPOVG~4ZttA?MABQfj$ugUwc$Uhk4a; z2};Vg_XU?(GKtj6~G&3*a@;7{uTF+Q5ahJem655Qe#G< z(E`QzRn2Bbh!=>({K}O7u-Zhlb>8mnC>d>Q{Q+h8H?#hH42#o1){HE4gCR5y^?uqY z(KwRqLkzP(`iBuQ?NsZ?woe%uRIZUCS9kdQ3n3fcN1Tk+K$Uo58Cv+scxi~hFO;5nOFAj1c_ zg})9%`3p<)HrZiVuA$(>E1r_9IbZ`hW8i=P9fv+T9ssy9`6xY=e0&|f-SJHc(aoqa zO2;$kI4VsDvCODJIN36YE)I(C#S{Z_o zrbazDv}01V;P-3p*qr`p`|U+1RnUexSx=VigmV78o6^kJ zZ5=XdN4s!Ks_6FShq}O}gECPdda5Kxy!@Qt4$F53VRPZ{roIzLRm)> zt>o|P8h5Q}NQgE3b`S>n5*naKM$T8RT8mMu6cWtjz%W9>k!A^oLAsNIW)y+u{3mI=$QVUN7%yla?Rfhhc!LIj$KCTZ{ z5&(OTnGirYU8&_I&8(G4W|%zB4raeLYCPtN$Vz4y(EImgjXMFTCxqdyXe%w-j#mtN zr5soCS2s*BNW5~6G^H6fKZig2ky&OsNH7{##H7ulzXdMut5tsxTcE-)JL}_xd-CX^ z7rCKV%K*SwMi0g^C@_|Rt7Qc!=d(vc#!3|m2)>tj2<1QxhE4isE#d;`rwg_G5Q_o0 zSCa*8+5a`>3HkWKn1Z%U`LFd$z9Rm&^;5pavj2}Q|G!2Kx^)0On6;tgj6rD-hDGA6 zw3xxLRyqzUKnzp>l$;^p30jO{^1tT)FN$ORl;BZ0SCr0+-LoW9$u6bgWgt*V;;y0v^Yv+3h}xz{9yRXiRUF$DtR*-BlnrbQ2} z`cHa#?erS1zWt;^!~zP(BmJ)5nK5DpV7(fzG8o|0Ubmm1TW0x(Soal-2+;q;a4<1~QegfzVF%34_?pR5 z?T6@dk~w^6hI>nh^AG>ad8ydUoPKitkl;4M0aFztXoG{l;~2#7p=qN*Q~Ooh!2s?H z{Sk8sad#ypt-xSRQ3V+*2imdUtKhgqY|^lxg)@TF7K(FPMTrTm9cj=N}{fuiW(iASO#U+Vh#Or|h}R&PX@()~UCmetlxa zO6k+cwk5S!j_WPid&3h_-3TqZPP`SBI~4afu_~S}B~a(mH0x*jw+7E@HXvUf$jb(C zYOgq25I19bX8b;kh+Es+d>_ezJdj#FpSL5djCtYX^0*J~@LqT1QznbCH0EQ~a2H|1 zTq18mACDlx1bQ z6+D1joAP*s>SkAOq-c!w)8ssLf&!go9mz{0G3vq=YU=_otlBocBR2L}RS}7#BdPnyKZB z2%aT5{7kb3xMWyVqIWltEo2iBhsy-}Nq|QYB~+zo|Gn=Z??UiAq;#be4eoHo!Nl=^r3T5!!F9z6 zN{8FRDm*JJo>0J{Y>G77>w{Q~$H*acrG=w?n0NB6e1Kk6!}O0!g0x^DBf*|z6g#HL8A_tuvM!p}71}z20BPW_2CWJH) zupNz}mLwvE2{{9a3HSN>#t=;PFiN4!z#H;KgRfR%ahPJLDu#Xozx=GE;xMI1?^xN4 z*d`2+uqhy{)eUuf z<@pi;gF1&60fF{!=*?kgj`gbkXV!&9w_Dz8o2wh@hrqI28PdNGR{yW(syzSmxhlsy z?*CkM)mgG%=EVZv07LM!hMp$YcRSNDcgH%U{E!lciUJqwskB(FGv96}OQPrw_=Yl8 z)2O^<4>t6uv>^{JnxfDSWX6+)M+-luB5Z5V+@bphSoLIokKu~Q5ktzZwybKu)r3i! zQ-*HM6f-RfA*HnQoqIe;`V&b)PsO|$Z8!=zvY}BKz5`m0dfz+$t%M#?{aiL>nNA%d zHH&iIJ=%Lyq4MTKs3-BtvN(8s{9VK331_nIv(rUGXoh`+s3-lKT|EoZOV`!r`!VN( z2~0#~%9NhK$vCOvK1A)PVft%=JGRJ}GoD`z8q@)0DLv9X)XL1i2K{suiu(t@d8UQ| z4Uh`S)Vh^csfM&aX&@Egwwz=56-Y9w*PJoc_PjMNFu71&96Z^0)Ta+P^++zXw&h0zxGj!4H*(EP+stP(r3h+af>k| zm>M5rvti3_K+r%t^X0utMi;R9gV!vz$NJN0@S6|<(nUIGXXz^$M6#V&j^iK2hyy%v zAy%avD|}Ip%mZe#OmyB!1`2OHL`zL2{+v*2#He;@XG&F*C#qLuo*D@NplFrn-@Idb zr?m#-3X|Zq2%?6IHC1ja_4fjg;k2Zsc-0h`Cd{y6!{8WQk)5@#tieKTRn^Bov=9dv z_G%H-)G*7TFfD~yO7zv&yS+8~R#Z#rYEdDtH1tZ-P~y;}hGrzW2Qsz+o2cQZ%@SGi4u@c~)7*eK4+}XIYoP zsyR6KjjeFi8C!i~iDl}1*;R*Kb8d(m1oi=5@|}Vpr$0;!h&)SR+L2#J^Qk z&iKQHm7Lx6zP?`QFOGm}u7F|MSlQc&WOhiSR3V?`y%qK!&EQ`ew84&SxjKYr9V3>y z$V|`gtrCi^a2C>fVzsR3m}GwVdph}3NWs0nl>0}sXf4NB<5C;gDmbOVD;NpKw?THr zbHq`B!#6B&u|alAIJJT_tLJpq8=I*ry1E!g&Z9Bv1(!da9UkI)`5 z*1{XQ5+A8OD8|#ZU+CkeU1h68No4psbXfdsJF&(dIF{P|t7Imx zlBvH+X6}XuN;azulJ|mwLzDn7_`zXL^jv+o9N)jga&(q!ePoUzvGDrcpMF(k;d7`> z_OLeBzrpowI3RM*1n=uy9N|R&d+0QEG9oHdVHPUB+(=XPMD7OXV;dIN*_gWPC%_}N#|d0j;lKqK?3+Yo zdXnEW4^YHkZL{NV$8xOxz(In$Ax*>cA?9#QfXN>JO03d0E=snIjMKN2`96V%jCp#7 z{kDB)GZm#TYv!en8h-}6e_}S17Y-IGtvI5y!HqbGAK97r3Sbx%z3O4V$3?)JDBbw}52StCK zbIxYzlNNyvV;%s9vZF=^>!?|X#*vR!x_;V{P6{p-+R~2dF4B~|rO${x4d) zEzT~bq5Ws9j{Iio$i|qZxF9&IL0BF|MVdn$TULq^I6w!;j-k)Xp&CPotbvqA5yr#D>rHCTrsHDwNJ^*w;kxrS!$SE`8|MpNZ@PF@ z1!nKgj-ck4Njye;eTOzm{D)XCxm8`P(YsJ%G=uANEn-o$4Qux&tC~g}+xlgPciSBo z&CB+#3NEo!v%ne~^)|}GDl-Lz41;;aYP)Z6*?#4c-k2xH((%Di(&d2RB$YvRGD)jv zLtA%79Z8W_-Ex5Sk3Qm#2Ri*I-P8AfQ~n_+b8py5Z$p@F+bJ3Jx9&0 zD*MS@npf6{*kH>5aNWg*Qh?{1nc!rJ%yt{enk zg38d%!o!_6joy3z?9UcR+G%fOEoq+Loc>a5sXnjJ3zaA(h!FEj7VeOG+`NMY+2mb0 z;87&|;NI18WL-DwBCspYV3b$7hHPh9|EGSYThp@7tjs#F;jZms^V6P=gWinhD7VFn z#mP-Y?k<4D6=T{gXJoixzP{>`V_xgid^S`2Yt_)8{4#!MV}WIDZaaH#9aAHE&O6eh7XPy6q^CTP3?rqF66Hz{m02cS1`Fnf#e^CY<6d=UbE{ zEP+W7NHaK!4F{eRev*YBMrp}-Es2xYo8Mp#Jxz=hOfKXhlez_dU!MT>%vpv7SikW_@`e2cq zeXIr}H?|y&>lBE^ysD#Xao9aV^9m!u%Vt_<4RVizrK$SkQ2DBHw|(m*o&~jLS*J_l zF7`m(DqCmN??rl4jeT2(@vA-N!w|o13G5pqjuTRSr-*JzBK#8Fs{-5fHCK}@E8-O9 z0Ao#`XS-8eI!M;{{E26xq$l?~e>7Hyr&}s2%7`7bQKuRXxovwLfd0QbtM<1zb?v5K z!b}sRpZR~xptiq+O&BR{Opcs4v5cs}r+lgpY%}||fI=A7dI#U``>-R=y)(LuB4~kx zcQ4y^caWC+8UCztBA_Q8Eq$jnw`Q3I8A$ggL9VoP&odBGBm14^oxJOP2bF=pboHsm zlWRQk;Pdj`^%UH}+1KAeZ=n*J_gf8o+Z7LG)9%&D_<-3S+ae3QPbIVjn}Y@figuqH zj%8I{KCW);T{yTOZyWfQwinq|n;qobaaGFpJ2yFH^HxcxU(mf9EP|@_&3CJXM&kZm)@Bi2Uvy*eMbFqW38KC_0o&g6r zI}h(WzC>YNC|np`b{>wz$tNf}AnXQKNmpkP4r%lFnCtlX7=x?v!|Nv@b0fCyS9lK( z1Q-vGuMgWJ$;qjA&mH@#`^Cpkt>rdX`Q*xKMi}hi%{-aKr6#sYHjWFZo-J{1?Ig&N z5!-01J3S$Y^?yWCT7%otRP2Lkd;kp7a+eg3=1V=d!1Rf6r zG94>2J}W1uUwm@%U%{`}3at=MRPSU}{XS9&^{t}qqDWGhpZwV~wX$D7U;ir@31N** z2!V`_j{np#14&sgL???)^}(+-Iuv%|x;rO08da!wwFhf=<4%ya@b0ayF7fB&q~(+*nuZ~b?LnG6>iYCD3#cW{e z*kDM4miD?HO~O4r!F>mUQv>`+YN1Uhm7>3*oO1qMY!}5WGpr$xXaX zkV29W6Wu*u_gLY9;&ilM0K4`xC9w79;K=X7;H^1Ue7w4PV&;R#(2H3}$l@1>RsvpLNR(3I5ePg0Pr)5xuK(M~%c3$@^`i&z z%S3!VXAS)6BgwI3+B0_bQip}#{Ti|e^sRvo(luEuGK|s-fvcW5nt5y_Yr@O;<}+*j z3!wU(82>US{L+Cb-Ml#e7^?Wx@aqMAZQ%D*-^CghS?t07c@^yWHCc<{4Is|#2UL_7 zwuSG#Y)bhSj%vO7lT{Yj4bf5D}p6V=l65NHvzgP@+MBqU{ zBXeH7tozs|24D4`tv|BIz8FIaCj15TWag9SQ=aS0O36rgoSiw&zdu->!*4)*uJJC- zVVKx7P(?8`uC4L7Q-buS{)^0313FYmPAV}3Z8Xp!1yGGevfqDegpe}^Fy8q|Kca6y zvPnPUJF!D2QNNJmLB!6G^&lDEU&2>GCgr|lq65yrM4;fE;BI$D7un_d$pwIU6DZjG z3QvKs;vM?^X~8*s6?Br?OJ?Nq>~kji3E?YA*$ZVldio^TXMBEepoj3*$qqujx^Sx_y ziXHTjJHbDa`FJmWWJRBzp?$v_UZCG%W*m{-I(e}@Q8h+hgbY4+L_LXKZ%RDCKY&>r zm?tA~yVbIJ0CKy}F+-;X{{WKAV*tk;GUSRMKxFmMEANHc6`y}V_rSqkE z)K3UK6LER!Ya60Lkh-4KL$?Q}x5tk*X@9;D{%|frxD%QJv^hF}dKc&C&(ciPf)CMg z(fV<-B#8Su>t-98C$R}|yQjaY^Xe@!@V~)qC%5r5_F9#87G+~c-$ROMLBXl7`($WJ zl3fYeoZ?Ne5QKb`)U>DWg}Q{l@nwj-_dkz6s|?`Jdm>cOzD+#W{-IU(X608*2F_jI z!Ub=x5(~h~U-F`L$# z7+=@2!^f4pLP>q&JD<1pJ`vYYoH7M6arl1b%N2Ce*C0upQN-+L$PE)F=M*YOPHX)z zw?aKVD6wKH11Tgeu8Y1&BBRV`mY^ojqhcK;Z@BkzDO9p;yg_i;4z5*%+=`p}zE#z{XvKW=&JXvxl!fYe2{1 z4}V70ZF(otGUIZ=e6NN_S_9eYHzFOU^98M{b+)~Y!z-OR{7}razLPf%NuAU5aA_Yg zKTNZfI@n6f>jIh-ms6$l*-{p`3(MUcRzxEgZ|cWjtPKgLWfb#&7Er@*LW@YbR4k-f zY>P0-{Ix7Nv=G|fRjfMoa#0T3Lwkms#)c8m_HSAK%h!4>**a?dxm5nDLVyTk6_3#~6K&X2xvJ%j{V@yE^*A9n!D5H|h@JRKQGf>=+j6%G4Y zPOdC`ud%v_q1=jTZqY1LRs+AkE8j;MA}gX6tDi$MSg=#6IqyzrOp*4}Id4zjS-io0 zR2YJq`P=@XYhZAKVC0w9D7S5QQ>#_eAPX*r2ZWoR(bP6eJn`o3EOwqap2%4QnP=Ey z7V7UJ`bZj}H^nn|U}mYEAE`xKGQ9E8Fpm86tax{drE+9zSh-OAmRWYB=jpqw7b533 zm1}9!^2z$ zX2uakAK%#PkFu8eci7D^o@N5yrSO*#_8K+)*6kvJlwT^=WN#AwjKyucmjjZHJWnuj z%nEs3_reFQKoIW-l0xgD3)LCR8hSz+dRJ{a`(=9n#}ZEx*`-hdj@n}*B(4ig<~f4s z=<_%MMz_9D;(A=hg*U-v5ASV=v{P1+rtz8-FCW4f7n6xyonuyOJp#;w*B`JSAac|~ zK6t4D+s7f18_*@YRd?3k9_p&x8n$kTKi>)k3}JCHwezY{RI~Erx~3N3es9|`d^lT; zY$&XaJosx-)W~_dd&wx%B30UJ2Rj}gr3QdERXl$k3m}{R+iizN4tMVm?q{BiR6hxg0g`L@3 zrvp2G~1l@+8ck{y@A4vlp2RKa>m?u12BTydEY%TzF>})bG>@ z_yAthU+5w^QOdlgJUI~61*l1`{Z)dq#wm9F7-KjX_0p>&N+9cCuS!C%`#8UsV`p`s zONMw4qN7dSlP``DZn1PtZ<{=yu*WiNII&+{+BwgeYq$;~7UZOn=$T}C&^1vl6DXUD-04V_KL!5iRa~t&R@^GZ(fmJk&Q*D-7z+mddT`CbZk-xe`P>&j>_&jEk?vY_`&N_8 zLcDKRM4VOiYLV=1oqdKzZ8%|$BEQ++6zn@>3%h-_S+V~aUNRm}s9gy8paD^Sl*r&7 zg@j+$hu}|-`Sy2xZvygOiy>s~1F&dN{nkHSN&5T^{dM*xyTH1#-5k@7)NiSL>xH2p zXOp|kv(UXE=SUtcso##C)N~zWW_!3VD>nnF4%JLqXiXf_jfGSaj_a!OLT2NG7n|Y> zA!Q`vyt4P$qPI`_tDNS^Xfl*wr9n|l8Nh!6IJB4>x2s3vV$dBnRO&!LFi))#F{z5QJLYOCo*CBYbto&8tN|cS*SJ$nd zH-+42Y#(%A?$tmzRM}dEB_r7mEBhoIA+)D;8Fg-3u%iQmjuUE)tQZ53*j%y4uUnTp zTHV5{hZ<4M=IVrTKg34=dWhoPw}wGgwcy@^_eX9kXmZ8z%-|acgHcU}*DG^2%SB=s zhuAWASGA5q4Y(!wO17G-#S_`A48eb}Zf)<@cnLodDjSUq>0bn2pyPL6-u^;zlwQ{V zmix3l=1+s)@t<9fnEnQ!RbVoo*yPx6FM&Y5C&2Zt`h*0PUEMO2X2~+a5zQ&ZYLZJ- z0pAS4)3?P2jB}@L9UGjPcXyBSdp4JUc{`4h&8C^$KgcEA>uTsUFu621bFX3`e(I7G z66czQg1pzGxYAxgs)2>+vRivQfmVZR<0?ke{b6ozo8EV^7}FG}Bg=jl1O>flHQ0f@ zwD85YY7k1v{!7K|;0HG(S1)6I(dm(yTgQd9Y?A|L$N0?BPvzJdBKz3cnIlVG!K140 z4(Bv6rRfo7PuZH6-cokN*OjTfjKEc{lGZ;Cm7Nr$ve0M2+j86HdwBOvCPzr6a!ufd zOgj>6lA)Px*aV9Mmeebnw1w4r2W4ZUxkc?v-2-t!({6;n4TX1;Q>1GZpLxR3HkxWM zn#8Eyle!fxF|^u}e#YUXp)y5|a&*;|L2Ft!pEF1zSdlpXi8*dZ$>z1bE;7$>KgoZj z$E^|*tdS)@WoS6?r`EOxNAb(#4gz6ZaBPii->?00kYKwE%LzjRi9_(HS*( zL+njt;>Rsl!``g5acKSW%u;>UZ{AA1uszFhaTm{8W0dA=GJg)&P#Qio-UBVcHS1*J@Z(3YuDTtzPeR` zKrxLW^d4Gi97xmjtz)*QBpRu`5mX#QmQj$nDUfKQVGM}WqWIgMwc$@Apl;z}tg@8^ z;}?sIddKbSuNY4F(25?6fCiHiE{9OS@kzyK@RlDI1^)0xrmKbW{ee!*1~EyX7f&OQ zrD%_G{SCL}F=4l%>|>JUyh7xQwK$<%1D7s?4M}KW58zLd%*{xmYtMa6iRo@uD&rEN z`z=+HkVu1de%H5l=UTU8TQp*Hz&BNl>EmDKS}2P<4EAk^DZ`JIWd|@s!{4Y?CL4-I zUv?!l1(4LK=<1geIHWaY8oN;OBG2OgOmhC56t#7?VoT&Glr7`x^^n%|LTBv?=KXSM zg`?9)Oa@T2dwPF9iUpGFOuNK|{Vp#0kYVExh0)vHaxojRI)a$7^IH`IRdHR#oHlW?>m}t;bf*!t_!tRF@hgmK zHK75lLDB07F>gK03p-W0heD^a)c*H*2+s7#f9bCUy-riqF?}n#;d!Yof)WuW#V>+4(=_@o8)3&RXTDEL+BL32 z3!k#inD7Kp^n!`-&PvnC{JXk;aA0)6*slZmNZZSbGsZfGs?}MB-{Qc##*w~rK)J>| zqLf~UDV3+t2aLSlt7@ErvxZ18Cfk+BD&YvyV(0oDk@sM;b2Jv$aV9JAJ$p$zAQ@nbIi@H^RRH~l0@^H5(B$Z&ETisVH$KruDrgk z@66&u=u$k!prrBq$A{Hnf5W)y)D=;HfzNbYdDg6a?GcL!f5~AbBAU?G7!@|M{!`-| z6#Op|3Dpp~?>^?8H^%L#m*uxR=I1L+Ptuhig}us{B}4}Qf_Dif!gP@)Op62#HBd?v zykw$Wn7N0fDiLlR~)SixDprR?^7Y#+CQzY&bDo@s`8Z-%wN0po$;@Cx`7( zuF#uQ@9wPd^Nlx=@4P+e#8jTr){vn?;NWWq#R##S&WHC|&6WKku-<+hFw`4z-mVmO zfUFMf+7gi6AXML*ba^Kif^?;HKh0oUJ5t@y;xGABQSxn+tKH?#EQjRzPHxQ>vEs5O zT-pw<@mQ&Gxb9{3Lesznp9otlU>B>(<@vyXd3b=JC{@C2n%Q<;hHIenvx+@0m|MOY z_XPimGDRCAK$1zv?3@=a~+< z602RrDC$Z$u4fl54v9!w$TW&yD_XS?H5uJ_yxMai?wIL;c{{)FhF2Q!$Oh@B0&3d+sYGa{&~HwYEESOP&Q`qZXZ@|yI7 z&C)E#tCn8!YAm+ffe1l4JB+9xUw(^JC8MtM_FB?;P^`YRkXM zxu)SoOCS(cOBHeP33(uuM=&5r-mz3`bh?UyijZGj`L2$?co zcJ8mP>%-0n`negYcum0I{+LOF=S=D&dxG7no0hqRv|wpVqoY&XV8!qZKv+4IsqLpt z)01o|=(gHGV|H3k8g)}`_$68Fv*wa}v7x94e?#2UmB`&)!L9d~2vSkr-KX-6Is(+$ zq9x=nGiu_I-@}?;a?unMkKPGX2B{XXN+}ppSN)m}R&9qve_8-8{-_81sXe>Q8G>PA z3EwcO9=Tr-E9BeVGRV225-rQ#SDATENobjW-!er*Ncq?$h~~io+wQW8IGah9{4KLD zimEt5CO$258=ixSci3r}#b1t;W&qQmMS{J@-PnE5ea4&q85WI-9lN|FlSXqPN$L*vEs zEzi6+$v$uyuFmEEGWC;_#^5rM#YLU81OnssExT*!k68J81MX$hj?N9V3N=qlYqS`D z>7_tt@$P=NwX*Cnht9;IB-@LKi6RU)*al%`XccslFc}_zTuL!8?TTL2Mx*|!y0l{4 zOC3B?eN>!7T`eW;n~DRt&kPPBd?Oo1x_Q~TH7^Y$mxdDuUUSl3}XjJtvgVdgx27X}qJJT$y>~@qOj4DUABqqh?M$iH zkyo-j(|Hc)-%BPx_w;4qpQsIl}=N>gLq>3?9tEPMb_(dSdlb6;)h{GZtPis)?J zpn_(RyD*03H@5E2p5Tj>b(>v!NxpK-FplbN7n`{3yKCxVqN0IiatF^I z6D-aKWtF(px~!u++Pj_FjB~4SQbPA@+xUUUK)mdj2G^!0?juBGwoQkxFWji&j`T@` zoqv=MYsflm@(4Fu&QSd>3ry%!e>PcM&GhDxT7~>iYVK)7qI6@4E~S%CXnRm7E{wfqoCkQP z3;BI&7~|_~<+uVyh5D`jekeF%J9@9zyQKldth3mpb}*n~r8@=@JCZWoGp~KG<9{Qp z-Zx#vIwmNnEWt6DL$GF`nj?`dASq}CTi#t&htkWTXp#lPu%o5SQrTJE5nG3!3u_hN zd4Cwsw}u2aO5(Du<`m}`P;=+-@)~-0s%w+u=O#QuL99iYOa5#+Sj`N&GXA8bQ-v{I zMs!6|XCJVZ2~P?_&2D>zgpA3za(}%is06Vh5frL%$OmzBJ3z-olw($v*Cm)&=+bPY?MIu7-pZJnx}SXTKx>eqb2Gj^g~4rjC-|(sSPB*AxzJ2 z8>u88wMa}_Ve`--Z8KxmFlO(Zgyx-F`=z}3+@mzlGwddbDtN%eU&lJguD6#M>k&Gs z1GO;3S*zQ560e$O0&uJ^)PF;bE~E>o9$VMxw@_%=r3H)PcfL=dC`KmZiQ$Bl=pi@n zDQI8`fL%ml^O8Crv3WI`u30_t?d<}BPY($fR&pgQ?!k&ud-s}PrT!f2_)TT?*+p&m zNYXF9I<3F4&MQelD)>!3#k&bj6Ps)6L4RWJ8!hheX#)HaZzWzer{vPe-UWX>6nbQ-CETHN=rGxvI2;@{0L(bv_{_WD^t##`uZDmM+ zQR!EnFe%zTn15?JJi^TGmrON5@QDqDKAy~m?(+x8`#f?3ON;|w=^#B^r7Zo%Ut2vI z>^hw}Z7W!^^kTxj!4%3pmrhsi1>9Kib5uDt)t~PDvUscwE0x61r4p#YZkm}{Dk4<0 zW>8>ta*c=qwz$*@J@LJ{@QV&Nl)9HYvA)RT^$|B!1b-Eszpv|R`4G6TIW#qGF`enO zPo?3YY*BI#n}5a}?6i5Uw`N6@E3Epu$8IC-%B4^`)J=`hO&hgZZEofrf$C0_hN<7l ziI*J{h#2D%d4QOlF^_+|J1tWS@j>88kMG9eZiK=Sh$wM`vIO0g5V$AU!;W54bt5b} ztNz|PZGXbIqEACGLyWbFe1}SlCL|U8G~SXO;X@|QBUC0sJ_g#00rPTf>*AB>2|vS; zPe=QGulUq|Ad9$VS$}YdG`sTW!$X+^v;Hv(oA_CT=I{%W+2>+Ub#acXw8@5q)_LVu zgfg^W`Ha9XKR8mX<}l!83P{zLD;*CrIK1WbS$}(HKgAVNKMOG__l14`R#b0id{@3f z#fP?6V4433-tseL42|=}k@6ahn04F}6fDoN0uGY?7eBC!HJL=%^(bjDq5L@GR!O%o zL}dEbWCC)4ZZ39WtI@OnU_;H4(%jzJaRk|?h?eHi^rx5*+*UBy5O?1`iu$UMgxw6> zU4J`24qy9i-_}_CIALp@Sfh=OPAyu@GWt#K7u)lI4Nldx#MtSXHN_GMM!?#$v3Nmz zsE0s^b-SNMn?buNnVP;ZsDG;GF$?5$INod$GX(Uwrdqktg46`2g2ckL=}{)$?brIJ%C>koCY)&m6K{S z-eO}HGq*vIiYD5wc06ZCBkUsB=TLR3@}N-ADWl-qv)%FHYp!`>RmMlU9j&20#F=Z= znjfERfaL}#&Mn5cE>+uEHh&=I%s}my&hnIU98KcXvAy?jSn{$y`KQREl~&9v>{qW( z1+t5oEv z8Z8TR9~z&mzj)a*zWePHHd@(joz5*EgN{)`fM!pTVHFqAN2;=XeSZcOj5Lz0MG2Cz z2GxJIr5$K1-Aj&;%)XYH>M=i#!|SRs4J%u@GYeJh^w7NZL@dnwe8|>=FdZtNrSdw$ znyBPW!r@_QEITFii`TY!Cg!vux8klKQ49GPnNN4j{$(cC1D^!G>1FsDX|;SU_e>Iw z{3pUElRidR>*0#$$2|b*a2&185LFKxYW2Iz} z&Co5G$ZTRDHqTp#JggyRulA@-E}H=6p2&V$hcks>hNLHiJNX^JliYJ+fdC0?f? z0zyE-~YiM2u{j(Yi>Qg50q{hxCNn3U0&&~@a=NlDgeL7yPZ6fJ< ze))Q1u8bdEuUofE+~Z|rttZa|AZ(P5A;1-HRr|&&K(b>w%Q($YLO3!u zyVV|adDtI@gt5_@vf+Wq&~ydy@XcQBMbBur6DER_qJX=@Bn9<$rXd%c+eOka?Px^2 zO1b4xH8lN+*Xjh>%bjv9d(uGkrVw5pFrm2&nq;i6UJ{Kio`_Cge_lIBr8*R*s3}Pc zaAa^}>3?T%f}uo%zxYraMDr6SLX*b|rDJ{?xR<+KwT$&`IVD_csGkpEp+$67NV;lR z+%7(r=HWbspyDv+MMs#emMoV-w?dpJLpYqg=&-BP)frP>$+D$V_G>qpKIVUG{p$W#D7@>M6en9ZA9B>8-^mzf>TcNo*cp` z#J65=FNAf|Itq^gk!m?owKR$2K#5|WeC%-qusktd;(DS5GK)9;ZUF4A&-r>CUL+d6GKfIckQ+Kl{t&*+oK;p=y5$Poz5Ns~9F9kzi-!sgDDx}d!p z#z?>n<3Tm&aD~kMmROJjg01WTjK_dMX_nuL*869tJRrNZEE$x@U}Ph&(&i;))PI$H z&XPcfB3GlfkXJ$mA2XErtzk<_aK?xXbXlex7GtjGfFGPvpkz=%6+5Au?J_k8l^C(A zq9xk{XZ7_Dgle9;0`v^QqlV*Q8Ru3?4D2WRAB}8mev#;3`Ay*tgwpudZSOI`-XaV; zvBK1F< z%c2v=u_Zz2bSfu`etyeEQ4MW6w#k4S_aZ}O!qW#6zo>H5 zB1>?1N;}Ba`1NHD0O18Hv!K-J>YVTvNQr2bsxHf(Z}CXjLy{cpevK)EVt?9HA2*1U*!`sS>RsK@Y34h3%^208rRNOV1PxR35^KuXNbf8 z{Bz_*Xf>Mje3p=B30>F4A%9AIBF&g8uG|-Zr{h(xy`0qEQeJEqvH%Ju=9t}rcu#!# zpO<8O@h76k?2U{qLV^vrg7g8d;_{cB4x8JOa{N9&TZNIlz&9=Nl};SzU^`>z(Teji z$rjBlPN0;$6bo3p|2uk@+**&_b%H5KE3I<|(r?K6{N6l<8aY$Ep?^Y1d}2IzF$Fq@S{ncX;jE4{Zm>#SJt!16UN$yc7H z&R>CXjiCTD?Za=(C~;JwpWp31H3+$L@@EJav~09+-KQzfkaK!ym!4vWm`IIG)6xva(d?Y@*wW%!9}F+R=-N6 zn{sY|J?qq_MQb^2E;N7x4q#b=i$yBgll#p2Y?nTIQ#yf z+dzt?5wbPoje2~@SS6d>oS%l!Neo7j;n;FgGcoz8Kni|Qg@0DvJ<7NxQOsox4JzxG zeo!I28Tl?@36@1#s{ec)ml3_1T1(SCdPj53w6gYE2oX9gVi))onIO~7M=*KeTU+3+ z6csW>4z#f%_9m?Z6)d~X=k`y0Yk_iT{y!RDw}1OD5c$&QTOreA+3^97c@O6<4N2fgajFT${b{0}MI44*qVL8?zZIDyOF! z>m5#2uo1){P;u?0C!tTeEj+H_b1)m!2;W59XFPuw1%G1pev*-({)1n_c8v%guMwxO zCPwh03rZKB@if^e7KiZsve1n1iU@N}cg0^hIE!R5npo)URmP0kYT$Uj(96@eF#kN? z;0#c(YNM?%*;I&Y?X}sFTIY%9D-`KQaSc3>wG;K1W&5paOfHLE7pXLg%~jeVgC2ME zyrVXo9)Fx%tR$$EimJFn7aSCW0CMC_W0_*?RuVbXwz|4xp)xh2cGS)$8kCS)cO+9@ z)WK}QuW8~9l6q{Z-cO?}Y-qX5#K|l!y8$mQe!k@Y_SX-u%d`k2X{plB72|z<*7KD~VUSEDT1t+CcNRa`}n%D_>zf z=`b8?^=~g6X9!6*l#MYsc_QkkJf=_~nx;KXbn^VkphTL2QYPj*^!IZq?9MlU==NvN z6R)B2gDjt`>$9pAY~vzGg^MdKfWI3%LRYDGu&S#OxddEr__DT^0isM&le$aGTA8{Z z|9=B0sim>0gRh0A*|tyQBbc4zB-Z}=r`^H)Gf91MQ}QL23`9Oe-AKE&-r6Ds4W_AL zqgN;O9?2(@ytnEAC@5!fao#)i;g&)+$9F^Z#4sJ)aU~(T!de*y?h1e5r8i30uxJ;C zZ|kK`Uc&0E_(uHLC9?I2Uqz`ZtpGf_+kbLR9q95!r>xV-&UI4qE!TG<`c<^|iPn)w z*Z1g&<~6|jh<%alN9aUlp3Nx}$L%xJ3OY|>Bm1vrR|X!f8^$Ds6F$N{dTGdA{_5qN zyYM+R>;<))?6(K!%2pP~xe39~(ZT@OJLFMd>RQx#H@tt3l->JAqk7cPn?Xt*6`xAj{kvAm35;pn;(;(+22(lXcWz&?~jp zRIkF@}#x@B6Z*7Ly zz9S9`8^wB_INtyib{zZo_&|bP?@pK6Mh}dSKD5&EfF=1RmW|~P03>WG2 zY{*Ku&qUG^pVs(B0Nyq>l{EvvHNbD!`+}YLO63RWN5c!_tS_Sukh@s#k?{PP9w49K zL?d5bvE~&mjvHI5_xtCWx_|ESuweB`Umn4WZQG&}cAUi;djf}Kr-UB#Q3y|$5G1%^ zWqFrVD**|e@qk{G;LQ+Y^UoM|$A?N*rgE~8iP6MeVDHtw5)BB** z0-EW}Th)@W+Hpl-m?kpIL}KOeR#c*Pa=EkM;c!R!sm0#3Yksmxkz?hIXwazQKM5B?X*i8l) zB$dPbz>}`_?tgLd{q25t3`YH8edqCnR;F+bBbYdh2u4&BAY~HQH&+Leu=G^9KBbN~jn~DrWR)1ua&(;hP8V&bV17Im>RMEH2 zBDaACyetXrq%Q@#E{Kwy;IIxpIs(_YI_^Va*9OLFVS=Gr)a0SvO}s0rVDn(oEE=ZY zaVRJ9lX@Q6&Zp$1)@$g4a00DT(TFw<%WLimsC;|9rxuv7{S*nX`eDLLZTN%OO}i=( z31<&~vVXi$(N46->w_$P-AUvJ>VL*L=3fcA*nEEWYpUE@lp|Q|8C4IsBSm#mwun#b z%3)pqJcAfhU8H-nQ?3o8F$xI4`qez1*x)JntF4-iwQTbirDx-exU}|}o%qYi0r<&w z4f)XmMHok5VC7fPHBoPOa{dhdz5$lzV78kCa(|kQc}hDw{n_fcGfC@)C-=uD>0Nt4r~=l^pH9AARe&)*4ix~)8&c;s*@rTy>Rxe_5 zunw-ppy-5YUOsm3bly?RobZ5R=xb91mH_g`$PkYiUC8J&yFP?w>RLD1D|UGN=BHM} z4XZ->Y)9D3W5$($Z(mgYJb5o2GR+?Fz&fs_pB%wV z(rzzxBqAby*B+He{^DHshMXm$O#>f`3l5_i&^;QK?x zFTQRac(8#Q>jh?XFR%6)6$-Nmf=THMY+k*Syv@;|a{)uv7HEv<>tVCvmm>+kBIu!< z!cHmGxAqYdnGQ)yZ&7YZp4xp$kkJSI!B8>obD?#L(waxsp5uo}w@e@}FJ`tB1|QrE zS59Rt$q&C8;pZD+;Ra^G<$q4z`w9_c)^HuC8miw7b4q})@kuzz0akupwM5Np+VH!F zJvbt=V&N#pc=OVpFi8D%nhl<4$vE%SK;gIT-D#rjZPA(wg$fm7E<|%aq1I~>d6Q9` zd|OSv*)MVH#F>EBpY|z;AwOeru8fX7&>GU4P}*lh7S6U!1ZJ z2^sUv=02k-R#cIWbJx8*2&;#M3~7*rXV_ZWs!35<;2$$lb)D%+ty*$cF}S=4M@W^^ zI4t6ME+(%Bzj>cP1Ui5Nt&&Nc5#XEZhm{MwxeO}gO0E>Y=w~JlyHpddHY^aa8z%|5 z;rBQ$=I>fVbrVHqiGOE=yc{qS+gUK!cz@Zjvq7YugMT3FX_EA4cwi5$a{wF;+ZnD$ zrAJaOrL7)JQi{mcJd*q#M!sNSDx-s~RtNszUB$)>xw;hZ z{*pC*@BJIzYXDxj^IW&$DVa(p*r2MTHd*0XGd!x>avZ(QK5>M?Bxs0~*LhxylEK)J zd?HrWN`{x+%pDj_bxHoT235i-W2Ojq_LNv9I2@=hv!OJeN|Y=py^=WrDmB?kzt~8N zVDZ^uM$OH5Pk%J9g`2TmaGQNyxV|~I5(P_sMZNN^r@eKN^Or@!Hmi&Bn)j3M7PK%d zWwyMw^xeDC;YR(eL+ScX*eief52wB7?rDU_-D8>%D%ik=B%>G7aFH~M2aYKO2Sl<2 zFj%BkMosZWx}1vwFh6dku509h0_r)hGxFl*=l8GmL4VJ8IPbhf`~sC;o{(?PkT)|* zgR%onC>dc)oA7mv=6DOdOt4?WY`}FYjT6CtE$%kpLvY7uhd{YRv?jc5$NKpNwq-F$QxM{- zvO{y7EhhnGJZ`~Gw1O7*nfXp^nrA0@agq4+y??K^Tm2QskO|_We3bf~I}FU71^JYk z7Ush<`|K!b5nWh%jHVTG24aKq-;>^7+ ze1B@q4kmh0XR&Zu;ak^85w3(JvL6#`L$k-@h=>ssJ(UH(niIl4d-93sKVibH4md{b zOk^>YXb5Ym4P{DTP6g-|5NWHD`;wFV8uPMkS<@WVG>5&SEKD|n4lSp!PRqfrG!eH? z-Xe6;-;p98DR1VFi58aOlHG@ZCHBeiEq@5UFMs+HqWqXa;X()#x$K#xDs}Y&7qPT8 z&~>wU`#7)oRjzsgLF*lX{XUSN)vnTrYs*IlWx)K~AdlW$R13no1k;p)LF~r&ZR+P7 zSBkyyFY3H}h*BgQ?ED#8+7Y(u{6)QOu)*Z3kpNG{rn5(KuxSC^`O@mZ5@ux=K7WLu zw0P41D67!w8gaZx8TfrgQs6bVd;Oed1)8_@!oK8cws?mL!&CwKG@L!`RlN+Ehb&Ep zw!-R8=RAUWZgw5wckUs~mje|1IEtB*I!-U5W|ce#%lvr^9$8eTpW-s4u$@Y^j8dhf zI${)5blvu7BIgTLPXWkja|cwWO@CbC1??RkW_ldozIw!x5`Mpz_a4D^=n+#s@kwv4 z5s1v~bW^>IP1=n70XIwOxKU5;Y!>o@oQ@D3KsLM;GkHDXRdT`cTx;Bn2{Cq`HR}1Z zFPQnrkuQ<~)-tRSKpV-zhx5B5G@Mq&x7gK%;?iTf1fn+BsY=|`8t1C9tber{#VZG= zgg?{FGURFV0M{DqElre^Z;u`C3;i>y%nR0t z`}$%7I+(MIqT=puu|7%zwtv1m8TP_xjqQ_YMK0%9mPHzA47x2%3M|pH-l>ihtl>hF z`bEyh6UU5%1v+G5Pf&PMu&VI(6<1aFU-V%529e?0&^>X71atkLg}(RCf`cLBJL4NQ zpM`D`nTo4u`D0Ris@pLUb2{#3AP>gXH;S85r*_cZ$P8P}Y|mu}k^Arn`bOwAw|_E?GJlj(Jf4l}**9D$WEzv=JL= zTD>_Ah2%iaoks?hs*hclQaJH>oT3=KX8p*%Jx{8EHU`ZTp!&P{!g4i-p^Zs~9h3VD z*^&rmlSiDBTVY@o9Zh#$J|Q>oCaSonU|F4=3=p=%a&9@LZ(#g)D0Na-UxP3 zz=(*nFA1e4g{dX`wp+`;5Z`|@;Yz1A=VImTK+Y#m<27WdWtL1EbM9TH3d}p-aV9+q zyMamRwl3WlQN^vzC2M9eAD2YxZ-1MVr~&ubYvZa^NT?92H7h*=*rl_&au(V$sLHu3 z*Uk^~-v(P$?pWG~>*p*d`q&TaszvE|%e&7u^wYsrbm zT(Z2fd!UzmKl_cPcS>q@(^OZEQDe1DRQ{pPbT)1UAv=NnkyMS*6(Pxoy}jXDodHR4 z@&~&8Pv$){QbCzbZ{A?-SPOkC2(&yox9ZO=0e>W4QwTwf${(*)a&NVUF&7o1r-W2W9^)tmQNclgwzOf2YxI?w$7=s{%00_`=y0=|$v7zGnKE zBPE@vSBSneV9{bGT*4yr{|R#ijQX43+ke=+PZoo&*$XFC&AvbAJVJ9<>Cs0Q%sBKd zf$a?^$-Sffdw|kKt$!?To*^aPY5)Iop#YzU!$ZiPU}sSvF8GFtr{$knd?U}lMt_Oq zseq(xi=ub)7`WHLJ;YrfyYdG69>q5dMM^YCOaX9&s-cm2cFt@{S;XWG=o}^BNY81TQD>U;r>Z=af9YLx3R~d?v`4 zRIvbA5VcHECp|;=fV;BrBXQuT(tldP)qK#-uwNls8*k;TUh9h(46)Nik5*0sqabl( zTUl|wxB|KmMi2;N%bjH5QeBx)jT_rh`InnpA@u50!mJ~lrkoTp?2m7)*@07LJ64#V zkhPOa;v(HAuZqmN@JuY=6;l(DQ%< z_Xw;ej#ZauB5aZaNYb$e=}4Y`%6KwWWlQaZ*)x+(RtW%MqUEWaM#FjViYyEMcAfan zKI@l~7Qm$l4v0OhB6^)JCeeV>^5uy}Xi~kas@=d1RfW}HLtgi{U5l%%fv?~bXu+#8 zEB)B7sC`kxkJ#*yO}uejn159jL1+}0(9;w-d9bVZ{zr(SO77;M$sn_-m@unAXV!B9~oS@XB}G2{eK+gLW>wSUdg$T z8CwKeIcC}@HL6c7kP6ihF51|TgC{LD9-UThppm@30a)C4Zl1F!pSbRD(KLkS^LrwB zI=P5Bw#@#wUAzGYu{$*ID|6YriLV?eAA0z!>2nU4(@mZOG_?hw`6*bH<+L({K@ft} z@ceINl*B;m)Pooy}QwyEomVo!Z!@Xe|Y`sfqXt`eX?Vp&~c2dFIqo8$U`B~EO(Cz zyc^{bb0m}zr-I7ky#9xrId*$a*l{OKb=ZLaOF>W(H2gti)_?evW2k0g!bqgQk06}w z$cX?fBk`L);45P`P zXBxY13n%cw5`Wo#Rq(NMR}t+6gz`fM>Iv$x6%Nv0Dj3V68%yUMt9GcjG8Q%Gq3K69 z_}3h@0Ld5IOB9gNW9sc0DA|d>ir#4`?{pu^JBLmzcmQz4H9`b zJ^ztCjelR8FlDwZbf7#4pXHs63UXVlhV?CX}w7=K6HM<8fLjV`veDPF?3X=l4C=oL?3NK7$ZfA68G9WQEF)=rn zL0keB12Z``m(l$KCx4Ci1yGz@)&>gW?(Q@i+&#DlcLD^bad&rjfmVzruX9Sbu}r)1}U&j8iG7laz{zp>F;Ce_MP^u9M@(7RcIs?1J_GHB|@xy}H6S zmVZY7SNFwH|6><~tW7Nc+eR}7aWhw-k%F0{q3Pe_^0!>|uSK&ovj!^IIGFu)wE*au znV9~M?qj3mkRi?JpY?g%-Yb#=&vnfVdnto+uQ5A!7+V|5(_&!z=Qc? z9gTpl|C(X|BZIY#W^mFe(b2tKib9oQT{*3 zF@MzR@F(A22Z(Z3G@jxIL;aQNWh^bhz! z-}xW#gMrIG4(G%BAIVrg!n^$gez0@@!|o%JJJ9~`RR6P7hEDeOACH!QU3(uJ_aFSP z=NJ&^3N(aUUa&Fz9B5t}*#1~8gzrMXH^Dbcv8SFwP4BU6-{JI(1f57-^?$>E)Bav4 zakv*{ZC8xyPH=_z)#Ie49y+8oUa{@B=ZkKf()3;%+|m@*z-0c3P~A^LSOR(#!F|tH zJ5Mz~3-A`uE-8v^J0~tAgC7^<|uLu zGUjnep&aQ6VQA1UU6JSR?tf>|vL@e&rQ&GeyiTK73p_NpSfZci-Pg-iSRA@>$#FGt z2*K~r#-=Gfgb$OXuopdw3uB~$BMOZPbrzcVJCOD5*lI|+mU&A@C$~p&j&%J*N|AS` zgJTR8cPNC1k1Un5I&sjl>o|*MUYT|C;2ab?4_>j{BP!;8*arvkPbhcS#z-E>JYU~w!~&JpsasNl*DpMR1z@CVxCP~n!#`43`d zCL!zukfrlCX`1)ko8}HT(59%qxm3Mh?ZUm(lgIw*o7(xdtuDtPQb46P^X)=zf1e<` zqqmtA6$x@qNZ{I17sa8cL^fEV>7f+9Guq8q*{yhcq03+kb-YiH+lkONdf;ARXDGH> z&dGj(T87Wbw0|Ue-hXCDbYeNDwMSttLZ`?Jr>U73vb%c@?iYRWgu5!u_$m==`GNQS zu4I7=c7XeyA`jU7FmxL+(!%yQL&7wsp=)a?R-Q9Xaw#5Fnm-z^CT}>$QX0GT>RehK z=q#=K3#vp%4ihMKw~xI>d3-p}Vim@)VJ;|^Fk(p#=YJQqdB0c4g}rR`_m*@eZN!Ej z-2IT6n(2gAo`%>qfgv@qe#8D>zF7s!fdqS7rzRZlogv(3<1&52eKnRrNVkVrT7XDw z_ml5`_l8+nA3uvuujobw1^)~hNHy|lWuMqDxyQIB0P%&fz)(FoKs06W6}OlRG+^Xe zX@Ke*a({7P>jZi(ME~+kSK14??In6V$rLH!+6{MIq!NISQ}f!ZGp?NE$&bKWKb?}3EkED@GV*9`rt2p(9e-ad?P#f*IJV(F>rd9|QQSc;i=XhO zr@D}5P?l|&2SU~`v77p7dky|g_j28Xh;v;$mpMgRspR8XMm$qyhL{Vf=C2~ZWm$gd zjj`KT%Ea98XZ$Es`6KPqoQqiQ$uiL zCrM<{W9SCbPR@luC9^!X$6BSC?LSRqFoW3FB$k}s{^ZvzJ0IH+n2a9h+ELI1wK|N2 zBC`UDD%?(|6^C-P;>RUCaThxCnTmDmet)GJaElF=drX0*;>IgFz&2uE;LY9m%2o>5 z#*R)BbBXX(Bps?+j7aJ}x~#Ja<=fJn_SYN?oYo|w`pWc|WlNgoxiNem4T1)GegfNJ z&ilk>nbzO+gG-v3gCgE_gO+zscje(|ZAPig`Qto{e$N0C5;}}QxQ;cis2n8434hv4 zXl_0#Io5u(1ZGI-IW;CpN^2|VcYzQ^>x2M?l;6+ znZ}m_jjunoH~SuF*`+rX!7yYjh%h-3sOCNpo_0`{?yb@ZO~bm-lhU%)k#7|6&$Q&H z@R3yl1*K`!!P>>bsvIG7hv}s{5(eQ72q*GF@@_PZVlGQeLy}@^BVzjbU4P}yN^&=B zcVisD3o}|IWPF$93rzWMvSw|fZf8%ji!<=LK~|(bUrNz_vx1u-CXmAY&4Dx0Yk`X% zyCx)A1RR=jxOfO58}jmPR62X5k0C37h!kwX%+Q2;ItVQ$pBhUK z(B4)sllWCc6~!xCKjr`2^?xRd^3g@53DzdeZ1`q&NrL$#HE#A}#BMajN(vxd2Qco) z4t1kZegZ}Ex(_pxP~&jXZvw^MCby~$cIHKK*HpyUk(obWk~>ziOS>morQsZQl96wp z;4|i&?W{*l)XN|mOhCYzv%H@uO`eF0k#`82+76&&^{Guunpt<~ z%MitG+nQB+4~sA8RY7d@9W$(fE@L^33!w0OaTEO}TaT*DExB~57}Y+XVQ+jZQKa+o zjh#Itk!*L_8B;4rV}G)q8uI{4`j>Kn4ne)QbxUf6EZ*1ObX=5qocf3ZM&xhF>xu9> zj*RMPeoJnXT|Qm?I7KiwZ0vN{B1UPz~dFoblc@bJhMQ0AhMvs zcbRvC7|-&1GFwPejxOmaBpG6bucMpp21tcjn!$!M4_@znXMfZb*fLAf#_}x4>y>^x zTL3D#xq8*uD*AfhdxyPGR^+u4f>K$FTcnDGB^H0{r>$Davsr`*^FYQDY)~e~boIwcveyuIgpVDy@7(>rbzrAMmTx2|F{awH<)0j$ zV97ogCt=Nlq%VrQ_zYbD**`^VU;ET+b}Nh%*$#n5FD^C~+E)n-Da7gr4kJ&<#DAg( z$Nvd8X^4qh8nO1kD&bY20*ZU^DQzVu#=4tqNQWORW`8Do;Zrt!dNYLYhVPpAW2cL( zyh?19sDo6>s3LxA{c}%%2Q=(&zYdj+&Zb+IN;TZLN;!wkndslw$UdDE!T~F$k=Lu_ z1dDXrH~d-qA$T`7N7BhFq>>qvRY-Hl*?{IUmI+~$V%2fZPf|5hjTdc%gXz=P6^6_T zU)E!{AAcAuvlZt+&4fxBr+uRLUhAV5-eK%xjt)!a*TLuP==}6ZYS-tc6 zt3Lm}PvXpF78?~W7P@?b+-`}!MB9IbpBoMUT2Yu%dVmj(I?Z!|N=dR9DSwQfJ zrOKsDxg_5ViuK_cy*2H^dw9Q#k$pGsK7cOHLVsAoKc$Ej2g6nid|wHb*coze@`n=8 zQ|8$^;gJH`Dh-S^4kJJM>~N=-fkbAHuJdXH&)yZZh%Ffz+lX7b#5;Na2=CB zcaf0-VW#-d<}C=}39pPdj01C$Vj{Cf;VaQf{Ob`ET=2yjxQp&4$>rJtS9o(@i{C>@ z&40f_wtaN~f0ebzTRIl2sz1$`mw6#QBsrxq#-U9o0aX8$$p63O}4U>{NgqVUXL*7{4jeRkub4kW_g1R`N~HV0(9-{NA3g zlX_erma!1;fjgQv-3DD)73KJ3nX{51n}5Az7e}~pSLq8NwXT3$pA<)p1bvs1&=r;0 zWWVU7+%Cl;kuNFuG?E^m$=n_sRn@*OsdZ7A_DftJyj9s*a3;^}sL|zM#*OUNDD54r zcl06{g~DNE(>wheIPfX#aaNlu`eFr+XYX2{r8Qq*8hvfeBPe@sS0Za66GpI>0AN6$ zzh{3S$FltSr-S}J*Wq%fMC*)NxN+O96l#0UTIWf@*cVVaO!B)4 zq{-_JgF?2mC8NFY>?GD0h#h3BX$LYl&ZvJ~J{iyjkcLRxh%*@(#OmX)YI0{!tEtC2=l(Qgn4(|dq5%@XG zHPY|jbu27JYA@W7Th}NE-iWEED6D@Q)#t^3$TNaq$fBgWRrm~J%pG{&Xxeq0m8=k( zeaQ{-BQMMn8C6NAaSbT_sr|e3Ac^{x*enYa)R9dyJxL8@PTOiP%uj6=ByL6icf6l( z}~waQn{IYtJ&Aj1%>2tOEAh)}u*Q_c%6*<63N$zuXo z_d{-;-LblD^EWGd=eu-;+h{UG{_oi^2EMM>ZM(V;ZH1#Uea-z@@NQ+nrSSpPx0CG1 z(@KJj9>yn%B3E8zMkC!YLyPy`OS|@UMNsIW5X72)Oow7 z%XruceFIUNF(s#t;Bl8zmXcX_BQV#=>`xf{PS^k%J3v50J)<(^JteIPE`<54zyulN z4<)65V8zjiZj!Px3wv>xAU(ra|uZwZ}YnN1lzaxC3Lk(_sC5FTW)U)Gu3a-6ZOgVG{Kc-7HQlDUthJc&7X&N#VkHT}DpiPzdJUv1 zuHLjqxghM~y!Q-glyH9@eC_^xVUp6H*m45CQkdZv2cH&&815~)J$My{dXokA$QccC zcPR{&>ivSZt=mZ;XaaVx({i{mFu1~r*W{3$bX78r)0i6(h$+u$Ac6UEI+R6DUp()g z0%_kDm`WN*;d$UeV~wztp)V`SdO0dpUGC&b#TI}25KdiQpYVT)$Mo?I5N9J#Ymo-I zGGTzA?!LmO-f4Px_(%2KZV)pB;vk%HjShZl)P$|?8Q0aPFfl^(Zu5yX*gce1pDR02 z&2|sI2EEUX7?H~e&b_Dm0+<4xo53D{S@Ji&98_!@?&bw|L((!@3TIDyV}QE{J<#uG z!*|L-{!qt6S2urbvyYiVKMD%Njui0eRiiWV1^q_;xv<=kKt-vW0z;OoNA%REc}gbB zLBk_Vw4RI&{xLw*L%-yk`R68b>jD&`k*rVSYN{4y>W50kK4*e4ye}Lr)L7t|aonW;dRu~S3NJRBH9TErbis!W| z?qRJK%V3GeWAoVFzQ?U@9YOfVa9d`yYjsoIrL2jS?*_&GpsbfBVPJARqAo9pMKQj- zo1eSkOoV@mww$(3lXcnq%KHP}a~QGfF{k-*!v%+htZjtHb9AbmVnsY^1l>uF^R+?+6zu)!{ibjxNQ_7Y-fedz2_oruK)=?h40wGS3 z81JvNy89`eN@Nnhgw*;SwZla>j1W8PNnjV4e0P6n{G2u+SKV(7eqmSpr&Qygl-X-c zpB2{1g;!@aQrt}An!tcu58xA9-kMXNV5!kr!Fh}YMRJ#@I(q?_ z$z{(>l)b*X8F%O^zmqQW|7I}JyT=pekT`&Q9Xb(CBzhS#`g$JQfN>Yy@>R?Wq~^z2 zP|$xqP#vvJ_b|)SQn3V`;}y!xrZ~{=yEt!MEFSAz>We1$+xm_i1=fZll#a^;&U!#Z zpGP{SSVbgHt_2?eIqV4kDvdz6xTfigXF(!dmiPQhdX=$siJ66K0U+kwy~nDd82~@a z`n+7{ZFOb=r<6xu;M25g-zHge;*hiww(@_XS+rMP$^fDL)}xJ`%S+OTX-Yi?=<`fB z){?|5wOk8kUG*E$+6-|YK>EoDfGWBO(0DCsOQJh8nhyDP)5T#Em2y@!x72;uf+yC? z1tKx8g))PVWL#h5Cg{ugRVy0-B?1)s$OujS6GxkrQ1NexJ1NEscyezM!f2BE9j||E z#9dzqS?M}>p(ah9`)u6K9>lmf8!wEpN^!-U4W0<)NUZoK1yf~ctcm><=a$zr)jYF0 zP_8nZm5X`~ZJmdN%P(Vn?d9=-r>5yM`qvcxl2u(Cx`Y4ck-+|W+>pLy?NJ0 zT~l_P-1Ozht`rTixu&e2$dj~m3;la5THjoP!&J3;WQ`8aP82&+-!_cv!=R@cTzJ;k z$wrYVwGU-mKc~|)am3xd?C8k$&ba-SFlxDzAt>S?sih#2-mu+@qf6Vhw(WnxB9ie| z7p3)d7%@_p;Ha#1V}>>;!+`$E1}QC3P)pW-&N+R+I!|wpg@O{tMrw^XA(SL_vy6rh zmAFle`I*5RG)7c<9&~ig#$U5=>T{lpf$L5C3045fOJJ`$qg7(>NY?;cP|o=kmMnU6=eXD=z0JdsGjKli-vdrlKy6*&?2 z+hGJkYgUj8$@vw{xZ{7?i(Wk&BIeq zpa;d}sgo{lFp6#MJcJe@3S2`wCVQT@9_tA+zwPFk{z--TZzF#jm5IUQRLUjotu7cT zt)aK-b*)2R!ND#{GI1`mU05CN^hVzJNYFr+X=osA4t=M@N zzf(KbsUP2aF3ondR`MiU4v>6#7WgEJem&h?N@7P8m?VE4^$R(_4^8JW>|j}J)Hjeq zUx2e@Xf$@M4sW+Bm^=-xWEAs7Y85EqaSI|ZRCF(Fwmxf&8jA)X1Nk*0Eo{@=s!NP? zsU2SBjCeE1=wjlcX=GZ}M~NE0V!CdM4Q5()ef`~z#mopW`MFK82@VfzyVm{G@{*^9 zF)?MG2M&K-n&B1@NWMx0csfhDKCf^$)yWyG>+}92H?%HE z;f*L8s-bLGF6jtk*ZQYh;{_06Q@ZA!ZO=lgxyOHZ+@9=aj;m)KjqO?UHtXQT-sNIj z>5)rmGf(yYA^-5y3pvcEu@${u-uX)Sr|bB8y_GohmCe~yYWCBlNHmKlldtSs{i@VK z6r+ke&JuB~uU^$em1_KkSscMXTufwY0XJ>^d}fwl`4ejCRg&p!HTPTg2eJfw8z@$_MuuG_XU7VzUprf zeOD25ZlWZm1Aaq0I8JBKe6lSt-#`YwDrJ9p&&*^?_3(@*@`}%vz~(B#9bXb$9CYn z>tdKT_}rC*teINotIP8wYjVz(K%SDUJ6T^Rpa;AZw-c2lzV$JIY;~pzc0mnIx*2QV znpPS-^hGapAGsyGGsG8i%0s~9jIDq4r;*^95a^oPUb>!QrtP`gRi#t9crajStxyX0 z)B>*GN{g$1-cK3sDv-fR>ku^mXN~^qNkg=);i6-h7|b{@0}+ZvZY&8Orecgbpf3Q& zrL@Y|J%ypgjBAltxC)Ki3a(*J{bB-U653NH;)eL7%3r16g~s&ti-w)pdDDLkUd?#G z&C=wQFWHpTYdUjLv0cJTlt+`Yf_|@Yt-^Q0=vi^hUrF(I-*|&IR--uQ^Bfg^S{CfD zP)o{Gc&Qu(vB~N``+vi|s-E3(A$9Y_(XFh||TTm1LuSq0CzmU+NQz~yUEM)D=QB_@`7JpFNfh(TSrplh4&HkvNN z;MKRP<2a3>pQh;=0}JqDUea6=sWIdz2;QI=rQwN6?JaH|FIA)noydPLf>HQ98nL&Q zIC$z9r?g3Th>b*;D%0J2Wy8q>AsJhkKRH6Nyvh)PbQ`U_!XFL0Bmnp>AeRT`>eUnaY~TWWK?!ag-%eImJ`a4Nv_n2nP6|un{Js zGuIBBcA$7ji=Fl)g@S*t_#qZSej>fW1q`#z_qlFfpV7+DO0VE5mrEtCG%@tvgh`nA z$Wn5<3q%VBmxk8(;k1_s;g#Z#ynW5$IHbheaK|_1=bm6PW9Ta;+}qj8A@v~4zXM%R zG;RsaC9+TL=CCryPA)NZsbTrWK}oHX7@|zqGhUmDlw+&L)0=EnrUBD!lO`slsg zZ;eD&`W_;~KgHMNx1#=RnqX;LBLtnS4d8pP_8W%r%OZ)#6=U~X6d-&SXX>SD zyGUO8l?P#Ip?;sH0<+75!$=(l=g@~z2Up}ulF zO0!x}-CZx1)K!0jg-^<`9wu`X(>g3xg8Xla+mk5Qt=yiddu}9xnMabk86KBRviT&OY;it_qteq zAj2OGT_}GHNq)Uq|H1Aw6i+s!b7l$9_J1GS%04I$eQz3=HPpg3)~RWb;egJj-5ZqU zj>sqW-nn(#XU&0!)F{+Q=;3AJ=I)i)TBse+3QH4MFKHu5d30 z7fkeP@q1DTJ0A$xk&_0`LBLHV%g{`Ck)21)-HCtQT`OrLS|o75s_w9!%LiTY-OnWC zWPqs89NE=$X(O~@o39jJ;ZgU8gz>m&08ejbaS7xheQLLXU5bXJB#FuGrP39#c-K>K z#m34`A>Uo1t=Ah)k*goc3BBb5JhUsq zsZ24)CVsF^Fp?K4+-ZNBe-_($+KG*k!@4qe)F=L<{tjQwpThi2HjFq4xl>z&y8|)Q zlaWhf zijQZEhelG)#hvjXcm2+ouCCs-l4yOvJeCZLT*)lbI<2F+W8WK)cIWn47g8i(#9e=z zHbAI>YxT*3H%EHwB|2sNq-Tj8ba&jF+G1U;%EY~y=E@EhoaCk8T^J)ONE<(GUZDZI z;AZpWQwqP;J(Hpl5a#0*gm{ppLcB3u8CVq3KHi0!iUELWPGf}q2};gfY8&$0M5=tk z&T`i^G$j6-v-91hU}vyDWoks^>0N*A0@AA@n^`Hy0I>w2G=a7J<`}YDdnyCfKFQ0( z4YtkA>jDqA?yU9=07{6Vv2e+v3L9#`3!XG#a>vB64~>GsgJVI+{9wnB-8_y-|K!%dp<=vJZ2MqHZo`tu&sd@FGtX~m* z*fmPvL_d24R4+Ah8~G95IwXG#7|Ai)2kO*=_Kr>{5GJJ8PRIU;Rij~75mFNh=)xG1 zPiv#gW{&q)H&2NUt*ay3TKC>oSRm@hyOCBgiQu8L$ptRO^n*VWmy>EaH5kOVxFv`s z8^k^yz)7`U7!h<}r>5VAYRawO0$OsTh9>arRV4B$xBG z31ofQN=lMfOol30?-N--vYs=qg0~CB5RcQ}A=&AE)9#0E#l-J6j6WWM$V!A9nuIO9 zica^`BNep|ygJcTWHxH(2Xv>;v$${aaXSgH{N(NH3oY>MPTWh=b{6NKSD`}g;1UEE zy$n;tYZO$by}GN+8nb`R-IMWShmYA=d$$jsA zCT}7^6A>E3d~DXEH2rNlr)AMcfLfoaL%Fh zo_NL_8sCZIY^lSlh|ME{Sl?;CLk9+M+;^f13e*UN<#27CKLv3i7t~WO2k*REbs>eQUA#1N^-cs~ zK56fn{<2koOuq9XPPyd4IC?ez0-M>=$xXcZeg5h%!mBTPA+MEviQ; zICm4&7#6BV_-`C@4O9KrTOcRDC$&F$*@7SWQJdx+3Uq^rNMie?k-8R2z@m)wUJtDs z1t?8A$f|$w+di#G8#lD$rs4=RceTw6##!Tj}hyvMC!8uDRs4yU+&crM@SabRb+|0*@q zw(#>V zFC!vxfiDtVw#OW-cTyfUUA*UWw9$)XTyb;nSOkISh>R_!?XnuP>1Cyo&K{Wnzx@T? zV7DTXAuSs_fhG0?Z2klheB#i=vaB$H+-HBaAB+J}Q-o89SbhDvpdA5Jp@@B()(Q^0 z?>*0ikK`iZZX5jmro$nf3Kkn`0@!8;7<$n+`Kh+$*n0wSU#G{ajaf5Eia$`vLT zGaTzmjw$whB3Fu6B3+_eOGJd}-;7t`6T4DBx>+prmC&43=>_>la z*rq)dvE?m6A(7Zc4OonxX;~S4aV&ohSrmyzWsT*=c{}EKNVBCqOO}9EYXIKWAtvxN zN{3lII+PcGf$gNXFe16x#E<%vw$QD`X2iwl>3XEvV^S5bBu((_-K+)|Elp;|K)kTe zM!B>>lG-z!98h21wk_%!hq85Yn$SJT9Y>W>*f5#~9m0Y&*z-CSPz}G+d1-&3n~y12#B*$U*7;OQN6KHoz1RD*a|GL<5k-G;og&=rp?2|Z9s80&zT8JZGW2Cdut}G> zrcPD8(OF<&RNC3NE6lmLor>0i^K9rBH3Xc<6JA*D9!SLwKDxY9kbhjAAGsINXhnuN zUSB98H{06!Xiz_hjCm0K)0^oy_S`gsGm5O+Lur2F#S)k2VmfnynX#2|&Fo}?%=*|4g!p7lNkc`gxR zWQ~LWOv;M4c%HeR;{JcUycHxCMd#pq-dHmBgUbXy-=~W?F)gm_yA*7Z3a?2Jk?ygUsQRLraYvEpF~k&7F2{}oQIMSQx-;MR!@rlc zN>5=U3aa_P^CZi(-r0OTpQ^HJy>lWn)kwVev&2bBeeZ1qaFPTL?PDk&lwY zk`nz*OF70%nvj0^gV-%y2>Uo_=$hyp)X%EBr{Ri4umb1^j>x?KqW3tMlrzeBDL~Yn z32p48RXu+*eUVXI=|WS)Z{c6h_}dGHoHGX{C|T&N7@1lY!^9Ij#rMD|p#{hHpveGf z-Nu$bS=MzxboFPqYco26%zbj}+_KAMtlQ8?ra9~{cjV~3oTV&n}x$Xh6tdp(wTN z>OIyEfu59y@lKO$b>t@xxQ|{L;&1c!2zFJy^oRIN)BixJx>8l%4D!-$`gxW1GW7!7 zzGi>C0)P2N0pg(TZu*PAt6fgpThNZaSNm(PfZd@Wmhf#hLc+NZ`)qKL9M&rcdFGiA z%wEL29!-VW=Y04P{0g%K3zw#+^+rxVLECJ65C|47zy0mTM*cJo_zox!k4Yw}NADh; z&T_Zn%AKX9hsU6O>_Ny&c@%91>v93P7MOpTa~|7E9F(9lFlO2fVK!srlISYOVvjV{ z;M$9f?1)ClJ>xC%+NUX@h;S%sMl1hlT|=!LUa0sHW~4_}6c^}S>58Xpf>sjW5swfx z-s_C`FW6h{U|Nb41Aa$dt+vr6OvVjFN@f0tir#mXP~+lV2URqyG2CE2QFUSMguQ=F zUlEQ+tSo9=Yi4EXe#G)t+gyi{(G%z+sihR8coA8hS72@x+_83b9@ujR3DCPZf)|8Z z_Oq!D^vm%hfOwv`v#)&9TFK|(vD5`R?QUAb@`H-e=;EW{`86GZb96E1KSkJk<$N-R z7}+vPw9!r~rm2JK;-4GR4a>MW1Q~yU-T+ND=~BWMEaMh)20Jh`K&{U^wg^~~mfF%a zB3?)Sfz+cK&s}N4YSwz5L-~Z5tuf|~_F1fRIyvV&J@78JgFZ`gQH7gSjhzw{!p)kd z`v9XF!Dd%nV)ZENguXW$t7zv}A?!dZSui!u0Bc(C&zC_-r^+)LbHu%uA9R1LH6=Kj z_in}bIrVz*MI13;8tU2{5@3OB25}X+YCo)g2-8y)o#~E1=xTt> zOA`-Q=A=TH!h4UT(}(68PbhyFtlF)q;uRpUbK2u?saBfvSvG8TEH@+lJ>t7*-}D}X zBSMF>e2LoxZpF{lTBazU^IeYL7e6=JeA}sg-{dAL<;?JRLVSw4Mim*BSEIPDdfivW zh99s}5d|dbr;;jrbJoH|=@tiaQdZGSqKe`?qL~fvaSlD}+w_04`7df$0^m56 z-oCStFIi8pDdThNuA~;MRJ^{YlX=G3HJ3OFv1&!#jGym7B%5WHxW?$!7S3FV$Sz@6 zj2ntH7CXDOPq`elGS_;EFRgr;gF^21D?Yx^R*nez97zQ`aO8Ks`XUe4(BasDY+}Xk zG=i;&L>ZM*O)2Wc#+iTO!dL<&j`l#;K%u=40A|C7n5~aj%<$=E@ghl4YuAUYj+5K@ zZ*+_rRU4$5$Zh7U-ewaCkWCboZxSw~nq4$D;!>az~n8;!Xx z(cFcsj4>-wJM%$jzClp7_Hk8hK$pOqKb|_)ss-9miC6Y{rjBGx&L3gyfmV|G|%Y7iXJ&EP%9 zPg6ER#D0HqQW+*jIERPLjZFmw%CI$C${0J^Ap3Qm^XN5TN~Qq`&EA}FkPj9PbKfuK z!lroZW(?<&rS2+8k3soPCH7VC*kx9mALu1-1xq)93+2>Zit{I-C2uWbp7^jNq-B2L zAQ-1!%?86lx*njevt%jkwk6r>1wBd<(y@d?NSc49QJV%{BX*oCFHAl!Ox%t3U;H|> zro;0_dC7VL(mEwITusy$4PF>DO!zL;0(HDn?%B+R(tP80e>J}zgAnmMt%X7K~OfpN8xYSKQ32w8-n;W)b?$;Y9_{ zI8BBvvVFZOJ%;*A--z@S60bG~wA#K-d+#j-Z~VT)#z^6U-Z=sPF1LgeMO?Wqw)!Lh zZe;@l+Rg8W`Ybz8>yD>E22YHY7wiR%LhOG7+0);$N>M;Bw8#hwho6C`=9Ph;O4r%( zqU@6nBBLxzXjJkI(Ye`hokAlZYOy9h7CwjsV_RbsxBi``?!<8?%y>h9s>g3??0Y;< z&;^$(ZhKAF=sO;i19o+?8e|QMPRG=Gbf#C`;W$MwrI^vEF<6@T|I3o(Yq2MmiUO4 zazMM}F#IU*?cyEy0p9m@2+#u?5?z00HMTs3maH0}&e5b}r`bb&rp3uU)sJIk1BVmz zZOTmRwB9@6<{v3Xql5r-5sJ^{xx!UBNiycCn7z`J0>RZ^g%TWN2;1fy>T5FQBE(G} z^V(zD!F#%oN^la;U22;kQq|;G)f^~1`A?&g8hIuX7&ES_MQC#My&BAy-<^M)Y}SYN zFAs409U`z{hF_X*VmSLB>&7m;MAwY#uUpuAHBe(~%)}L?r(_}#j7YnVQ@_J#$w7Gf ze6N~_-Zk(E4u#~IuZi?Rah7mSs?BcuWjok&`{@(e+PxQ0i{#Ws@7lAj*b4LZi zOs4MKoD4_B>u1UwOkTUfD?P~4c+B_HL0scXU=Apkr0VFtv@6670~u+rJ0o(SBZZ<@ zCCCk4oqoo0@gU^2GqGNoK2VnC@50OG{2tg#+(Hy(a_cc7j9`Q zXkoS2E4YG^i`DBNG0A^Ytn3n8B%&TDRgnGji9 zady`_-ot-B4?s~{D2ND)e55OW9E}84JTBN_lNOS+(5WHhg1~<`#pkkM^p>C`#p)19 zunto*!&ng!z9QW}FScRwvshbuczf5x<&%M)?7aKneeRU=SEr|vxF*_+Ebd}N^>*>~ z@SMU2O#treqs?n!vZh}FF%}esb^#Hfh)g}^GRQ6w%#RvNbSOqsPd_5-by&ZqqUla$ zsf)%8=C>2efHQwtYIcf(;;Y+4Etdo)cu*w}Rysgg&#_rgj~db%#|vDJEd4sdDWmYB z_#ES_H$3Fx{cULc6TeNN+iny2s-!uMkid*jmD-s#H#S-)-siNp985Hjc$Oc5(2u7x z@Eax@J6`gFf4$o94w66SFU4r0zcFS!vKZIJrnB_(j zO#+IdmM;)HwV!{i#}#;*tDYoUZ_#H2)dr26P6THGwNh6tmX@Yy5 zZ#0t;NoLOwSxu{>MhzG07$ME?W{M;icQhRmInIBAPcp*g)hRIVX?*)6v%B6IK5x#& zj9qM5i+kaQ0p9$>1oKVo&NwCqMZ z#({5sVD7{?Azxs1?W+JgHI@N(S(LOo+SPkx%631pr2V1ksbS&DB1#fLdvH&nJvd$^ z?wfzrh5^TT1Hlxfv9ZB5JelzC!!li$3Jury>01}833`BmBI_Bk0LkO|s-olj!X2p$G zaC$AuuPtKvWr8(N7a4UF>@(2)+ip7$Thf2)Q$T!C%NzX7$_BgH+?rto^zezPh{9Cv zZ53{>P$B7l7dyQ4unrd`3pIHVMsb&Yy?c-JjuiFv>Erib!tYv!tcGi zQ$GAqkHfC#r12@Pceb}o%cJGpTb`-YKl;mW%ZvSdJic$hN*KJax~GTe#>JCB4P<{R zjL5}*ankI=Gb+lUj}ciU9Mm4>X|YB6#0Ae-Jz7!X*&0=c(M_!muU9{?rE6Tdtb3I= zHMrp1QQcl@IuInPcRe@tE3O+2wWY1c_nzcgvX2CabOHa}R^6ioJ=kXHK|FDzq<*|E zSgfz8urk2%#~wqx8-y($67}=Fh0%Y!0VE8z)@ShT*>&osP!@*HC|P9(zuChvmzq?M zzO4Ecperx#jzHvkJ0jsQli?)-t>#zAgncsB#sD_rLkGF?F2-Xf#|l+U`-|z`D&ZGg zkhG9BVrU`y{-fZU2o_76t%#aFVnz$kWq6j{ z{|B#37rT=Ixf7RN0Ra>fF*h+X3NK7$ZfA68G9WQ9H#az!L0keA1Ti=`IG54=0w;fs zw*^#N>lQ6cu_7&AiW6F(IKkcB9a@To5Fl6}1a~M>+}(=1I}|Napis0F_aa4#JCB}o z?(Mzz|HpW5WQ=6bWqYk}&AF4%(Wq;&N|?b+A+j(#1S5Y+CkWDpn(v$Y4=xk2qL z0On8|2tZ9%nGNBJU;%*a%zl3df@~aN5BVTx5Yz@_`VjC}>mY!vga!cgFyTM*IfCI( zdxRsKBh=>ijO@S5JRGx(otYHO))r!iaK!rEpEMK>0Y99(8~Z=YwYGz~*m?X5nM3W& z%zuwz=48*VV+VC`f+$G;6Z2rg`eU9|r*V`}OZD!v}_$!R&0@{)GRvVs;%(Z8Z%&=6^>1w^LFQ z<_hp&<>Caea`FHH96*0=egNOYh1Y-AQ3pZ)>El0g73|DmfZxS__xo^A|LWQK9}+P9 zqYy^Ge-~4QJx~_{VEDsy10W9&{P4!{|IGKlQvUyF{=3TmmFfT6k*t%A&0l_ozXSe1 zevmEH#_gYo2kJT@9%P^bdk}-&|Ay*8{?@Jv#0=_W`@dcV1n7T34ia`250qx*;AR7I z|80jl%0gWsX6jG`*z#}M{B76yEjSyf9Yh`G2>tztdB_3+|Ht>BGO+c-W5n@+n}54N zjt`PU{I$s681f*h|L#M^4h%ED7yPfS zfSus*hqe7Br-zmO3;*?igg{&&V65-cFtE@&tD1Lh7gZAEF030P!e|G{7q2;4NAip? z5WOZ?amX53b*M@@Tx2eOJN8(wrLJ@1K4Ybp-LjxIjh?4RRPB$Z$xycbEGG7PU1Q_RewSBnnU^HojHOq>fnQIRa4VqlwUduLTq zx-v0^fbP##O+>Tlfc6H@3CXLhRoke5wQz&?Nvf$Yl!V(av0_~+@s|4s2j=lVQFev- zDLVUa9MT3M@MVMsD5SX`SL)8^;M`;tI`5_uc$k065sL4c^_DI%CSKI29$Bs*?HbZB z#CP&pn#7xjbNqC_q{O$MCz4EtXXkE)n$2YfQ=>HYi^iQh>h`2S<+~TlS1GL(g9DY^ zW+!{bmT#Ptk`&&K_Pt|ZQHd3~D_j5RRZsUZ!r43sx#d+=BL2DzGjTfOj+c2rP9LpJ z#{7R7L*S$y=Ue_{%QkJ%f_Z^=ExxbuxwxJ>Lz63XaMrfeH7eNs2zFa%+nVR?E>VSj&a~(LPI$X-##dhV)v(k0NP`Te0mhV;W-e$|QxBLx1 zG8`rdpw2Ab=?HxnLBiJZ{zduR+NY3Hsxp7%XfvcD)$G!%6F=fFeXuqpE-dFFzwoPF z_uF0MRDdd$eVsd6iTX1k-SG|!-u|3kY-G{zEcd@eTawg#6}fFCfWx<%Tn*FBOhf}7 z?aVpEE$ajV_$z@>r;SmS_;odLTuU!j!a0V>r~X750|DEyQQ>V8%O}lQVT=>iSQfy@we;JCvl*&0D(a^B;QLaHRKwdXE%& zy&)s5dl_`J4bzu(REuGJ7oSIWV8(gW>=LfpT0S8!dMfR8dWbQX5!3r70jF)RuA0M2 zzaqe*2nW4`!?e9QU9kk@Y0_>PQD}ea!`S8WCg1@4mL6yoe^~PxiO=oA0*f%&l2ofP zFozz^$BV%Jj&*#;eA);Ax}G-1>Ls_~cGulL-m=p?UhbeYj(~_))-oZxdnU#t=t0>U z1%??T`%}^+gR~--9JxlLqO7x7jKWQ0QxDyTnlV7wLxJ4JlIUwYglGiw*L{B@R~!e- zW3%`Zk8pqL)z@$i+QZVNuhUE4qqMt<4w`?Huf2LpZKy4C)@7BdIS}g2&U}r%zD~RY zNKM9Du;E{Ke?1>-UvrX`mLX50dp_@H)ycJ|Bw zY+utQbz(&jE0`(`(tLo$euVkHWs8!ci-g$idant`>s@v*VsrL&PC&~GKHnn;#Jgql zE3Zz1&9QjKsvv$;EzOD732x5`gwq}CIIR-YjM`QLTJY#PpG4_ov;;1Dh)zbZXO~qL zOWDGfHh?2^WnLfb=bnF?{qV3P<`xYl2z_kiB3*DO4$(L~p88ccI@2D5U zuzv#O5~8T_lk9DlpoCmsQ}v&mK0z6KQLY5u-ZaL-IuX73e4`B;6Uk$uAZ+?Pbmf-k z`~9UoAv$e}&Ikplz_-RXgGYl?pG%M=qmg_-_>J6{2gk)dSXY06vZhK7h6fbK*95>tQWkXwmN(q$eZm{uV@tBVooom0N}o{ZWZxJYxv{2VfI4a zPVb@jz$0tP*pPp|JDYt`m)OPEJHo5-Zz8JtP+gq~J`#HQuFJC0roBirC8A-dcej?- z&@@E|)%N3TTje!<%CN>>S0z2;E}uZ>zDzk;s-3^Ll3+?Ru^125D5|2H~tel&`%jnAju@Qfg2sl#cUUFND@kvGCWBhS* zH4MGQugGgfSw&8lz=iXe2y6D(3i?W((Cv- z0(Cw;6c&HTMLptaNDZhoOj+L~cW?GvP_3X(Ad8oq(%}%V4`O2%Ko;sBQ+Tv9D(fCk zThQ*SGsCWgjAHZ6oY&Q1IhzvG4Xeh*tJ+^)p1qcd?rP37!8)$;=1sL5%=K%7RmFai zYi^C!$7B=(w|Yjq=VC3Hx?BE=4Wv|;=*-ju+*Rzsl4qoVYn(;bQ)=*><@#uo zm@0d*i;nPE6Z|AO7twIZQM=gj*1XaWv?-{^VB#*>X-Tuk4rUh4H^Nbm4(h0z{OcphcaG|Gl94GxDk-H~n2D#r;K z`(T-ABq}P+hzxOm3aC@9gd10Xk1qboENo&e(nszF|ddr6g}xmDg~(XH5Nyd6$nAj3unai{PlY z`LtltYMQzkij>uYq_9goMrq8Lp`UU*X$--W!O=oI&DR0*{QM%dA|B=s>*c}gcU6}D z(jk!?+Te5Bt6D($RTBfC9JZHk-sgY1$tlf{=s#?iT{AnKJ_ntTC>b3q4tIRo^Sv!1 zVD*X(zKBtULUkBe^_@Z&1#H-D3o%$^kodg&xw3-l1+ezuSDCH5I5%%6ghZTqKvC&`8 z$4T7s+e)~9KmC!8YSykQ^kO~5n*@Cn}Eo+p>+M#8?AU+E&yn--i zBIqly{lj>KwbjeoTX{_U$6i_Y(;+#LPe!GdGdqmdm1)gTOn%demhDsrq62R+WEivP9bRZC{_V(1H#E$Ta7wt{lzd>vHpE3~YVD)*P^E=1m`r z;Ni_BRNpR{onX_0Fczr_hvOdlpxf7ky9&8!eUr(k@Q(&_4c-2q?^mxiAuto?*p(Kz z*>iibpQDo%mFebFQX|jMtBa?b_0Z1jgC>uy(Q^Vu1|+hn@fd%;8>+06e#6Jb#3MSJ zk2dlvADdE3sJ2-c{puz{aZ+UD&Lb1N?jE3Sxhi86(>WjDxjmo31W&@T^xhcHDgAjBgh${i)>Yz}1t#{Mi^$NB}-S z!M|CawjKS|b4>3WT?jCDSY%TsM!d2mp6!6sXc=CcFPOzZDKA3PrO;lK-aB`MHJw`B;Uh(e zC1+jD4@po?G;Z>+6*&sF8}^e6$#Yf&eykC*>8Ps#6A`T6A*tt|pU^-sa_4qf5gd^- z>}2fyF{Z*n332m(x7OF}8y-vO+hg&tw2^GWcTr#ZP}Y9t^UKlUzqcQ0`@GxNsPap2 zBH_yJwwo5L<-1GHLsJr)*#b-mU7ZyVq)BY>UYEw4c*!sH#OK3N-Tkp6DrJ_@PL+s- z)L~9co!^4k<<{;u_s2pJ#)ffiaPNnI%JOlQ@7WPI*geO8Tf9=md~z0%&B>Ra$pvvQ zB}D{iPaps4-zA|tiDk0Q`W` zw(KkTU+<-HE|6Bde3~DOnsT?(`xdh*32Q1A>~!natfT2?y^Iq5@Qb8=@I86y7r&06 zcb;vp)hDxcKlUkUc_?P|ISd2y*;vUQNd^e{DY^JtzNylO8RZ)jkwk>A<_{ zz3JKs7mbLM%(2FHd=du3uC%aFtyR^h!N#kl?+P22oQ_14ISotK5_J|qa&cc&wfaNB zeVmhjH!a`b1Z+VIYkX5ukw#LI*(+gNRYW2S@aQp<#3Q0~8;5cRPQ!(c6jca$hSF9% zu$J+eBOIb<_$KP!TKCiG1dU_rju?>>Zy_Tt1b_KeMqe zG!?hgU_Kre(u@hW%Zz=`={6cvN&N16gBMlnmeTf&lCE~Z%Tj)_mGI02dl|uYm%)t8 zF=81o0d`zgPg#$M!s+(=LdAZQ!_V=r88uxG7Gt-gQ9ik1@{F;~S{A*;XpxpD-O2iY z4je!R*L!z06D#IFSqo}9@XHr;Kqc-Quyj*esJoLD5`J@^^)>LuyT|jWv~dY@5})uy zn;qV9TR>fTAo0m`r>#G??t0y(jLydW1egl)cKi`1tuCd?tz^)!aI`5V^YWLb-S~OJ zi=7qgmkU`ZvEo|USDuR4jQ1z<2B_qJ=tN#D@#D@qV$#BlzC(!zhIwhXdHV^ihp}a= zi`#Nv`|-Qt(2yEawQ08$RL)l1!jMw=iMiQTC_SqhJ6>jqnDCPIp&_HiAf6JA@LLa3 zL36gyg-tIL(zBW$VXbA6gfLSHi9Ah1m7DYNap%Y5(}LU62i4HNw?19}BEE)yQD2Z8 z22$}2=}vr3^m4EU;(48XVp_RMm4f{Qp&ZOVRt-!V4DlSD*lAazVq9|gx`8K~fWS;t z%R0qoW5RcP7Hv&!P}xWZ16Q z3CE|*!^BK{4F~e0#upmGCnOxsj%vQm0osph=d7oAlFVK^UM0#$RtK1WS?k&JZ8*4T zjtlowt+--~oUo=lhoIibjS_iPy=mTe2d7M)RF1MTLXm&j>P{~)lqshsuIX8X6+gOz zkBG2W<95(<$ZC7Z82)PG`mj`}MMpue(&QGA`$j4+9@F%4t*Ib_8B@aLnNlv+`9}an zc}zNHt_<%wrHvjuSQwpu`|d(z(3s3nJ0!*XRkCv><+YfG8|{^Y zDzGvh>bsLVZB+cMeRuBxe0=2edvfI~vLL?6{Bk*F{m!8*$?)o>(2gCECJZL}VLxrY zQhslr;OLjhn76dvLOq8B{?GFePAt(-!$yRy+AMEaCitR z*pIH)#(adDq*UA1aWk!r>hh!5(IHs7tliR|7e2dlq#Q>tsP`uHQ(H<5V9lZ=uU^?q zH2i%qveM(Ur{dRKQwIB5s)GCL> zu6^qFW=zIZi^VD}?tksV^M(Dz(2A#ZN)ZEul$M=jQL(gL4!dbNj;jB(E0Y4KateEC zX+NgDP50?&;}NT7HiKwB)5sO(c+t-RPM#3UwylE}PX#s}r!Er>_1yDme@2sE#Yq|( z{SDg;H;>PMx#M_95^9YK0~X);6R9j!!a^u9c;uK&#J*_CsuVq4?(w~w8n#%A+_CR8 zpIt(>L%Bn0=jr3XH7m<&j@eWCv`g?-8OyE70kclBvw^lp{1s`)An!Jf-tIEiNc5G= zvxYu}<^$dfmTLv=F52LTyAijv@4@?VkK_~Wq0O6r_qt%qOhXbI`njbKvONoe&E0rv zdE2xv2SPDuqy>wR!tjv-=@^k86M;z9(o|gIZU_2b7%L}TiBWW$5inf|22TSII1Tw+ z8Gg2K+hbR#^S3cku%SBhP-Z#~nF^mq)*32n1OX42E*g~f6237vii<+nSZ84+6H!}t zQlu4sq`0VwANqW42JsI1#tghkHJP8$I`_a5rSnr}ErOOX?%ez?ce1xY6qJ09HGPc4 z;KTiA)*Lv@xp{0|x4)x-VvE@9!qz%)#4L>g!vq3XL5DaH<8^)ZRa8hi#(C8v*_^p zCH_&YRBo~(@V?;X=0x4-$rrI9dGuC8CCn({UW@J76wT91b@_Ua^hfXNr7D#Xlkp zPQK){1QxOw6bi_GBF|YRuz;{9Va+m6RcZwLmz7Z!KZyaUeNCZ44_>l-CYF2f9>LW0 zlxo6@wPdHy#&aM!i;e7zQoW70wtj^b!Oy8iCX1v9hhNsve0S(*Ee+nf5%}PLRX9qt znr%Thvl`M{KZt{<$)uXwj<~~FG{kW#Q0O;#-8x^>?S%0)s{f-}RWNf3*8+s0aOF|& z4bur#tksEd@tRtPg39};!71b)a66)^@JxPmR+~X<=^q-&jp^6tI|X)nrMMk7Iok%( zQ}qCPP5wNq1>t zHd$A9DRSZpt3Ury#motwX6KpJ_x_wPkD=~8b=G%zB+ejo<0s3w)6vF%k0KT4W)LaY z9niyZAcVOhyeqdnf9;X;)sIcI*~XD4C(o4!ktx5+h6+9aCn6iL7MUDGp>?+TZH{9! z;eFUDs!{r3IX`qosn zFE>r1E+b`L{U$4Bs1h8*_gG$__*DkF5+Pev!QGI2VMTL_rg!gva!~FP4|9CC$M_*n z*P2hg<|~{i3O>d6V2QLs6aO3hkqJRgp3%@F{7?AJ0~JS;0dS3f*2lajoJM7qBhDBY z$puDOFP^zHB+M@`D`0SfF81ovN45R`l(x7#W$W?zr07r8w;Usv3=!{#9rJ8{3_DRV{>>0VMu% zho`}*LAYYqS7MldfBy69lQky%j}5rB6{E-=^*&%)|23`sEQV8T?|W>P&6F737*cUJ zL)>SJ`YBV6?VelDn!=XSZ(jy9&Qq09Ee$*+(Rv!YdpqWc-qBmh&U)ksC7vCtmBmI! zg*SV*7n=%cjTL3QK+jzyU?&#GHLfSrP7^JkrpieAyureM6X2IbvxOq*Yypr!kL622N)=O!^* zZ9PC<3cVnIc9$dWzp}|u9D=JO7kA;CsH`FnSma>deGZrq+Z6brobnul4kP|+Mhmjh zK69wQ&3H2?lUmouOVFGZ`Y}HKnm(0i8`r#?PA-v_aZT3MRz%sD4qx&Yl7cCpL2C5L ziN=~ozfo0PfnF1sEoA!7}C7@`#>m@vo&)rEz)vt~6w()$Gj z)0C@!W_pTN<=t26-X@xMn_^ufN^G2V*`CiC&p&%g=win9QoSiKm$BFmroOl8I)Z6+ z5l#{}cDI@Be!%sJyIEIXwbdPsY*~gD{5V%P*ieRNwDX2r43!{&xjM_PM2m`5oCFKQ z18eIFQT|$BH2ovG21)Cu`beX-b_qyikfr5+v+>75cCKnMt9PxlB(1q@3#fN@OyJ|M z%xD8Ls$0s?uysayId~1MQgX_3Yp2%QuO?m>Ubj z*ETJiNL{6C!27Vzyd7db*zLw|>YBZSHsV%^msWnElBTD-ME&;uzT4*tcq1O{d*9H1 zZcGi|JD>Pe&p_ZtibAPd3~F`%s#;b9YnOdY6q)^cBQ7y;ESuFPu zA7EX+r^}af=S!z(aXrf|$!WdOL7c;7%pkxwhEt^9knTU|sBflNgw$h>>yu!w(KWLGI}ycO71W+y(-(9zfly>Dk~vapmj_$*Id zP?O!)m~Xm1<|NKI*8BDeiMMovl2OL^^9iw#Buf(mwwbaoHV_ICnsnVMC>{QP-Ly~x zb%eUgal5Rse5;tGW=fJE6xW`VV;uk5!%dUtQ%@o<$6DHPI?WLcun+5Y(x#5J{BuMn z z21A1bt%uWGcboo9evrTk|H;&Up4it_Upkf=L!|amQtG#i*x`JmM$jjizjl#+t~<26 zXeMlEHIGc-=8(f`F`xLgYliIsHtr#xz4_IX*DukJqw&ml0mnRp(qL&_i)`9q{E=JY z*uA9pj`elOy}x^7|DJ~hh;P9Dgo8(yH{(tGOl{v2;OF9J^Y;_?JFUroXK7QX#b|l6 zt)FmzDh=Y4V)!1oDD`*Z#G>&1N}z=Wfg+W*UH!u9Y(KC^Mt&hx<|^-$b$NNawwm<) zn2s!&%3m_QtcmoH@#_u_Q8k&0S7a>z7meKdZpEVTen|-@ULVVu-mG}J$|JcMEXk2N zZ6W30ks!vS;XD?}-R=&5s+_f>)+Y_sfB@=vI8C%_=O9ckB-TvN9!V)i?gd)5(@xqe zKi^g7`mt-(SUzZfq3EQ_YMyaY@fxds zq=TzA;ymVQf!GyRi1NIOvs=1UT@a(2!u}U|9mBydC~r!cw^Q9QUVj^3;7G8oqNtLV z$I=EBS#5?FbJ9j~F^g>trQei%y*8A=ita=WCisA|pJ-3;n!HJkd@q6nzsGDW@Jy8u zjr<(C7+$@PRGce+v?nG>*a@Q~jN;(0c2-2~t)~4+P?yXleD>M4n1ZN+B9PtTIK9SW z1XN5Byx@OWk-FYCjO(AfHh7* z=zuC*{j2a|6lNyRQTBAF#yMUVD*4_c=^~4@a5c1h-oWU8!>25596>(Hk113X@3rJR zXTwsrGv(;mbT#wOVV9=#ui|GjhZO@#26&<-2}Id!^S=8K@LH#k(n-vDU-6^|K_*}B zK)i74XzQv0TR~lI(yGj#i_-;^IX{F$>EbcF`<$!GGH4k+brjSu#sz@~O)rhB*mcMp zDYRaFt`?GiRcvKsTPh6Fsbo&3zQd3+^G6W&p`nP#67csC)h z^1SJ2e4_&)(Gf!~H&%Ka$7aXELw%VKeyg={XpG6+aLSLgJ(m|3*D|HXA^Hu2ZgFU! zke_^iqvws#diZVyH)7=pB2k!MM)mA1H7?=Mx3HrC8v+aGC%|{H`Y0D)1_UO_g+cJR zV@9e*i->l%B8ajHA3#gIeBOIOrb*z2@^DIgH!ckbD*Y;6VKH|#0OnFstOGy{l zxacAbzv!##{&tG*wM;ZMPE51>oLI%*g~!e$X+}D#`#y!IBjhonMUG=o$JBK zu_q0;onB8LJysc}ZWJs20;FkaE>m#4pM);*QgqCk%V96y7V>h6?38)N)mHalZJQ4I?7dmc#G5&S}C0T`^?3f#C?Bl>Tah@55b7#M=_L1WhoQ%3Uf&Y;PX~a>8J*q zkG3KG?Gh)?C!S0-s@x5yzaLv>Q;T(~X{jNjce}E9)e_>Ft;sbUHqq%MwINYQSsm_J zO+H^g;E-ISh_^}#aJ$2hWPZF!_{lAd*#ol)yiNO5>iC1Njx_#Gfu*N^V#J5|ghzS1 z&{ARrYMW|OGu~2v|JSofL=#c#1E|mE#+sJINF+E}cQO-mG91Va%D%1zuM9?MTNx$Z zP0uAk54+#365sjH5hhR8*u(0-wIZ}S$TMDyLC#WgBa7JL)I*u38!F~Qa}Ds;He-wE zD~X()2OQDHFxW&M!SVg05+Dt+IwKx|Yv^qRVTyVbED58345|I`gMrAbY_5uJlHbzi zbSZx`MTw9k*|lo4*ZDy5M9hHj@^5})&z;ScGf9rqafX# z3+*kiEbLYidhUx#+B(4W#k&ta+qYRNTizkIoKrQ|(W#9&=7ULMasSehk&%L@qPH`h zknCx?1P78@83oT0<4a^0!I z?d?rl&BPv54%Vlsja(n782C;Z<)*J?IY;U(mp6Iebls2^sWypfpoUQTMx$T|IzlG# zJLBF>O>2DXyYDDXa!;V&spcMr-QipqCMTH8C*e_bzwnA`-G;@UCw3$~8k#OlBdk#!48)414dH2jD}e zVl9$ekO4&!_|Y?jJhw!?HWB0=C~?gC zBYr8vru3Q8>ei;OD}(W|(X+90xgC+p&d1dRirr`5ndI7VcDT3w%AB>>e?$n5 z4SbG^b|wfo!0yf^YAT{ZqIv3jjXy~OjkOrjxUf)J~)um=cx1MMz_;!_Gs zh`Q$U(eRe&Ex@+J-$M9iE~~sKu<8Osyh`(QTE^M%CR%x(XL>Kw{P23xHMTO}u5L0` zAnu-=8+zg>kd*+xd#hhz`+oqm*+1`-0l5>G#zX-X5j8bA3NK7$ZfA68G9WlLGBB4x zTmlpXIW;vgmmwemDSxyDP@G#9EsVPacW5Au1Z~_Mg1ZKn1{#;fA-FpPhY;M|-CdL5 zkPzI1h5$hxxw$iUX8ynGz3Qs3&)RmjtbKsgsv0cf7LI0M8Ak^w3y76n03fNPB*zP2 zXXj*PXXiiz0yQB}JMiCPG@v%v#TDY{An+dsk}hCV=(9}96o2|`r{w4Wkax2KfH(ml z9sv-q06RN?gPooKUxtn@0stvfcZdZ*i4`F4=m2&_14=qNdAUHWtf9}>{Obr{FlPjS z`1yI6|8fV2+k;&o=B5q+B~z$1*#7xOb5lEjhNC$I4E6f25DY@rP^gmt8=HrR2dk;Q zE32c6l?WpxE`aCD0UC0O02L>&!yjYCKL*Tzzk34!v4Z{`?r-nkfglclIh&fB zJK8&$I(R`GtN@k}J1{^+Mv)cj31tSDI#~QRG_`Yee1G;gbvK3BnVLNt{FS*WKt@~* zVESC(-{rZQyFi?vuB@&QyWb_U{SNcoWoZWsNk@BoumjW;?RS1s5ErodbKAYx{!G@^ z!O_FP=Wk#Maj>xbU4(_36PuO;#MuojC-t|cc4fSmzgPjhRw z-{CdAoPWT7DM7!*&lULjI5|22ET4-2`#~(h&wpq>uBPr_0Mx||?C0~JivLDvAP~R; zVh#nEfvq48X#Yfi7K1JS;OF7HKs*8Z?9bc-0oZ^4{(Ulhrk91IgPqqu=6}tXO-fNl zMN^sSPsRV%Nk}+)0(@9N02U5zb^r*(3j**yAAkJ*JBq3)?ICtve;YiL)(!f+07{O}YvAy|raIt1OREI7fVkQJuT~Cf`n(9@ z4u4k9WM%euQC3{;OBMv?>wX(%pEO$?;Qs>55UyL#ncP!dGybS8{h+a zUQi3L=U>bQu(3KgLZ4j#&o%o2EFE3Yet(adha12q{#*11@c`H){z1F|Hpzbw-!tw0 zjd2KP5_(SzY&O?1HfkaAMp7O$UpFzWo!=rh8)jIr~lM)0oYvr13s%={{ucJ z2>lEGR~O9PTwI>p^cQ)bTl6pd*HZ)rdxFi;mKPk&1w(A=LppD2#mPKacBX|8Pk+;I zbU9e23yn~qL(-p&HE^TdDOi4HZnfC zhBHXf7ab_?9<+1zG7O3%EjUUp#f4m>y_S!4pIhR7l!@zO_8Lz@mQnu`V{&Q2PS%jl z5rThs&A9z;?5f((V4 z)tqNy?#ztn!ZEs9FBI(qY{X+b2~==X{^QsUnBbLl8hB;cdugn8&B zON4m7lG0LpAs>=)EPfjsKYza}Vvc$X6}sKIWkDUwm4#st7HZ|gdX==+5ZS|^@kgS! zmS3oHj$Yl_{KT)iO~m{V`PQVJ!8XxVY@Y!oGeJ+bdB3bFqTA=7Or+O!ZmF~|m%rib z&zUqe`gg$oLZcY;nX;xx`YPNVc<1+;1gLY0KO_=?p|!{nkaHb7f`8L4LFVB?MWI1e zV*uftFm@DWP1w}!dv<EIrBXWYqe?%9l!IpBPLk#nvDl$}g`X^9NOVWl51Npj>1pTZ12>3K3>6guC) zjq)w$ypTc=;M&ywRXgDEa@9FUHP_X=rT^d{C%hyVC|z1%MSrg1``w$v{s zL}khvNm&u7>VFFH&)3Hng}KMc_8qeq6k1neTUoF&O*}rubhOS!%Mj3UpKKgkqj{>V z$7Zxi&-)?^R-{$ zk!BmQypgpwOt^iBP#Un9p{w<N=NH~Z3@U<~J&$_k_i`Um zLh@edP?2?UDEFhUwb~Ot0em)t^*CG(C!J(8dTT68FyMaX&oN+?)Rc0YafJ$UwEMk0 zCnqBA$3cUaByc7qF#2o)Gmog<=xNNd7KE^#=6_@`v{LjG8+R8vduGWqI&a&Gfc;sz zE>>q_O0-zvPW(4X+-FpZy2|v4jE&9Oj=M; z;D6mk#MB~BhP&StelZt^M1%+y+)(MK$xG)-;Q8Avp{gp+DK3+7amRt_JiD5n6!j%# zq{SQ}lKrLu*#{=VJ|IhRa#&i&wRX-Y7p&;AJe^k-2o7b??D?vVT~cBxn^4d<3izz9 zBbP_w)ly*x%(|)!hgnvfGNtxTSd^5Smw$2c8X08?%GUWC_cfTq7Rw`Lxl7Vm@04b?;&X$v6_aet_G zp;)V*(!D*q&=JL<3P1t8Ltg@^@{2wtm8alTRY_HOFTv?ZkTZO!W9IzC#Es?o1}~K99Olec{$1{{_o6{}Ex9AVp{y?1&l~wntk~ zgd)(UMrgwl{*yhFlsSD-ko-&{NPks$y!iFx9G5uzSDZ*rxi=YFc<}Q#qQ|e-EQs9U zR~UN9&E{Of1M2S@jbibl_-|UlK}yGr5wwrr;;V4`x92VnnJVmEcLmCKL*UpmwX}%f zyGBCES=*ALd{@Pd+8qc(VYwX|5+?>-Lu5_Y^@bDBJlPL~5M~q#KZOn*(|;+zIN(hf zw~Rm3maW8XcM=6r^tT9~4BAsEFKbjG3$*GW3JuH&L}3d!-X(#M_@Wk+(m(uy&`bQ_ z?BaoTTlyLxXUfffK5dWmc7>wgzvD?8bEpoU)P&!XAK^X|@W==i?kEsJg5 z?IX&&QnmQp1Y}0|rN6GHYmXXOw=;^G$w+^)LSG^DPxgLJOk`*h^nBN z+!GKU-M5lfir$8)b9+)ta?%bYbfV+c=XYMkQFE00{&3% zvcD)j@?Nx6kM|OxU43bC_|4fN^M@U>=?2=?;>OW%;= z2XAu8`H0YWa52ZQyA7&XSfzT~f8af*ZX@n-<5q3TM+;RRuXWBlMf`|W5>)u|1?<<9 zVEjZ4mF!s+g8W0V#Hya?rVg@gFB}RV(22}Y#Uyg-KrmyJk&HrMfS)|;&8!&3IF~o} z`UhukcQ;(LaCOxu)hde`)#O1}qoU^D6cb$_e82;DcDNQt0xRALSD%*CiH63THu ze{QM39eXLQ)K2TU0|kGO5~J<#*%At)>NN_Gh0PAf7kY%)n*M0s^^3?qc|#M!*t&P! zx(@a{*7hPTyr*+5k)k<#OtPuY4si9&6oNo!OV8eQn%#i5!Xv&f;$@`hon5eJJ8Gq+CL9xb$+F!$5k!Kg99Qi1%^HE8?s#j;e z*#@#yJXYhVFMM6_H=fB?^&V22wB1=pa7LO_V$_E60lJO$2oHZ^)*IL<#OKDsRRuwL zX$`lJ^2>2Ol~csErBrR8;c89dwedKb%Y1XDXAzmxD469E#L^3Gp*zOr)rjhGFMqN) z+?8>M?PR`YX;IO)ZhQIej!VN7T;nZslHF6(>B%^LFzGjRaz%^6j7$M`UXAT|uS#Kd z^T37|ey$u#KmPXa4P38*PksB56pNF}m{8ou_R!ETei^e0)rSM86ymi3t#jyA+78X%D+AZm$-M zdj#+zuwEP0F(Phi;NbF&c8yRHvx%gvWcFo5U$f8J%vFmwRGct_t37+c z1>6abj+Gy`Xy7plUM33!a(^AFxA{NJ7m$EzYEx z(F;i=cY4)txZM?YrSBlxU#ag}_io!7>ja%su#+gUQFfsT_bNbM9e?lS%d``lw{`ue zT2^|_ogq6Xd+~7^edMW)>t{Ms_(GQRdk~e*$rF(xU4=NsQx2LT5&oK&kvS9sU(Tuo zxBQVZ0452xXwux6GPQlMWpUn&sAo`y|b8)!c+aF6`8n_IfO>}n>LugcE8DNTGgMo`sj_{+BJa} z+}S+Vorc1EeweBYFsEwa6ZZuN0~s3 zkx|-$Jpv?9jDP;>dq?SP=TVd!_9RGn;l{!YoSMx=B(x)=QFCMrWNy6frLL?j`d$E& zy^4y1@gSQSH_>*fCQvZ;o&q0ZpMBwbi_}-p=B9$c5HgeSJ;T*f#9@f;&li{#jdh$` zODqNuZW_Dd+CkIBq8JFhw^DzW=n2ZL?T`Vxs}im+g@1!b^zy`3h(_6)+M`dnPjE&k4LDBZ?)*j0_$5|>MkL|16slG{!~ zo4X1H#bO!(HPiD;ySY)Rku+vJ49am&eiqtvkZZCHQs65fcLja{GwaTte{|`Dc9Nsz zb*MS3U}4|v_tq$Ma?@M3=o%;B=$^k_>4&FP)PGlQG{j1i*0((A%-i?HWRdthLtl&3 zL~Jat)Nx9FkZSgQSKK=pDp|p5#GCU$amAtcg;GPpsl`TL@dNG(mzmShuvxh8gpg}| zLVvtndQqx~hVprQ$$z9tUdqW==-+ zXu$Nf*QV8yrbxxr&C478s#ILvWFINe0hHiORH54a0Z=#L^O(Qb{>kQRcE;lYL4P>S z7#Gk-^2i77(rTz&mADPEAc(7(r$l2c1jj0?tR<7alpKCO6EQd#k+R0FoT13XX4i(h zr8aR~<=m}{zlc_Un&olzamq;N$Yb#StXxgXkT`4Ltr*{1hIcfNZ$3R}k_M3dP;6}rsxWwUuFY8+XbYIbvRG7E$#M1LqQJ)Jkj7v&Cyur&^-m%qOpuu*j6 zg>k8JKN6sg2RD5YWcH~7bg~R@$nRJ1D;)9MA3coYnsBZnK~o&Bs-UQIdVl;DgEAMv z!CO=@6vd!_WB=keyUoj9lbtskS1yOH_<$DE!A&o#+zEnoh3)9SFTx~PXpU* zvP$hw;JTGyqI0OIg-n~0hb%YesqHLSSDr2{OsAe^)1avTHr*{g+ZzrH63;vj=$$I;y z-!eEM^0tdq%zmiB4Xj@~fE5YyXVtB-+KCW5`>?lPYO5yuNf}SO^M6?Ft|!J+bKHy% zc1NkTO5V?j!pVQ+MaK~n6L}F4K3lY2)a}Id`P=}LXsF6^V%yf9BZ~7r`@P2ZlfW4#nt;%5PFW;mbPZ+9HZ?W3 z+}ek5Gjw%l7}wZYwl)U9jnY^dEy5E>4_n)hLVD|fEl+;qnt$u^$#J4X&vR}uF$0pE zi9ea#Q>D6NeE$wHo+;+T3<-K`YjR)TjA+kg((XJR>N!@qJ7Cf_yvaEuuqJM|D7T26 zk!RjR_W|F?J*U5&l8bisn3g(j5<-7_+AcfQJb11V!6yxF|7fB!wq#kBA@GTe+9f}3 zn-PS~hSXT?eSg5T6%sDUT6f*Tp0PZ55vunR#dEa{FYS=Xa=sp5rB|9`Z0J+Jhl}EU zcT`re^yYBaQ>wfD;>B>*f~VZ9UOnUI=}Os^YTh6QzwKIrBni$AcZ<$eUajQb4M0v1 zXn5D$S2X@`?wEhKjX;gm`a_@hSoCm=1JZshz0%V6lYfHp`^H2O!7ft^*ct>*Z6#+O zQurFGNB#2_n%tP?f0#_7BSv*c;Cmt8KrRDYxL zp!E1jy?<1(nFhp)uYCPAMLL1J$U?2hxt1+F;Rg9V%N_CyB%)y+UVO2rgsGD$`@#|^ z9!<C(**UZI-G^&nOQGB?t8+`b#wD@}xYd$8C z>VHCy1*w-$^|!U8<=TTwq7M0jDHHYKq%zWQN?BVgBYXlQ94&g9IroyGyk4Kfdu10c zqJ)t}4N>FpxP6jKXb&UOq3qYa!@=sI%|adp@R_`Kp`Vjcv8)jv zI7^}&mkSoCLbCBOmcni)b*^5qcKtB;F@M!rM4?9{*D3t8)|g!JA^dW^ZkUrSEVC*6 zZdG`~)iZ$7=`8BIA5tJzgTSp_a1D2-$ETWg)%;8&On@;81x^&foZg6vW`c6;#hkSe zFqk*F`;?Hq%1B6iMJ5!NOb!u(^J|LAh$w;ARBtLDVvf9MP@+JOVmkYP6gWNolYarj z57vW}M%ka|hrF`U05|&qHeeUAE4#ZUQ}=QaHHzu$2mT@E7)b($#Lyg-ot)bj3rxy) ze5kqC_(He(uWXH1yeTUKK6iCoNySQB1_CPx~tMR5p^ZcB8K zqc%W*y-~{5g(y!5Bz*C}78X~Jb$_49^@U{nQ0c@XL}H-r%+=ofp2ogteCt!Jdw$?f zU?cyaa_YpE^~ru#+)wHxXtLpnKp!oIbjtXR6_>p0hC*e;6nXH#_de~&hq#F;9Y2RaeDfy?(KV>z5+L3^JoeQoxw8#c0de`-+;9r|s{7=G|?AJIrg zOJ)o4y&HFI0#CsRV1VO>UVpE<`&q^JN19m7-fV=gUT=xCmZ9VpWok6b#}%s@tc_YV z!yETb!tUNk&x%0!pI~vL9JYFJrO1%3P3qM3HOi#y1YGIP8C-P^#dD1Ea2&68l; zwm^2i&AyFcOyy}2RED^dU6-#z)Iv=`Q4ZK4zTpgn`eVNM=p54}dPvGEdv`>?C`^1a z>)PkozPy|0>19Tj^Os zTQ13+8BTQ-3YRd;1aeQCGeV$uD}6a!woF=EEp@v%?A#Pl2SLJz^>&&Jaz0 zGIXI4-R1TbVfvL8bZ-jL_!N}U9G!k6jD$@*(N7V78O&qUfzd-9YkDMPj1bd>i$s}q z@^E%4>%%7vcrnjG9eXQJHy(%q4Z2xLV28zIu`@Hr7epkV{D0QIUr=s=)aH|o(>Bny z43&n@J>eMHyRJn)gRpVL5OH~XaYVD`u0;U-C?XBk(i44D&@iHlUdYALXvP?y-g)3MD2< zChs*>;wcma7&c?I4OO(vg`HDyB|xKYW81d9W81dvOl)UoV%v5mwl#4k&O{U2wv&_p zoKyGKeY$VmU0v1B-Ti%Q?X`46omOZ63L8Ev^BYb&h6LtwBs|;6+A?FN#x*@jpg$f@ zW|`NxEUiEHiR2EyU?-g5JP{6Vvk4)K4sq z|Ka}ZqYoTpm>tW{*7FQp=(?roerq2wbv8HYfRDpuVUz9|`>ELbr{cQf=Z?9{8c5J- zAKbKAY$ust(Bhynn=XeaS007UKZ+c&KO@&?3*OSFX>+Stv5*e5`Q`3s0GniMcWuIn zP&YdgXA(?rhO!nu@LoJG#13yOZOs+msOvHtL^2?}ug=0BG#K8xMFss27zI~kOO$K_ z0P30NRMb$$Bz;7MOanHiZtWe0U}>@!f+8bfn~X3J9gkeJC_qg$A)fiKZAKZJVc3^y z%01Fy=NX=xuv_kX-g(Q%=Zu3pKn!U}7dme$(qk#AD`_oaQ^VI-_zmF&Or<7srMbc1 z3L03shc$k?hst{x!6}crc^Qn7_>u!RO=WpPr;o--epvGtFYEp3pl6?d$jv)=m3WuY zQR`;(UDoiJWQI^TJ2qbQ6&RJo)UB7M*Wrd0xmuY`=tJz#lz|uJKp}CLJM0N@C!#f1 z_x7(vc6hHUO{$>KeugM&NDGCdaPf~S88guJqO_@|l}_g3zWBE_53{Ke#m$_k+tW=& zZS;sD#29Md6J-k+3Nfaz!Rmlfvw#b;GpYOuqZ$i z?EJC3*;W(UzOHc`Ljfz`z#O>j=yZ0CL--X-z+f0(ss8m)+ZYNol37P-vhC#d7)mV@ ztg(?IPUWbdCdSGh(MnNsif2QY7`Xdu;V-EtMh42Of8`l|M=zD3;vMBa(`~U!Inx%~ zgN`e)#;Y!mVM4)9J)_BcDV?eSrjtM zTSPSUhOBILPC_{zh2z{4NSZ=u57j3^ZRdG^ay zzhAkCaxJu|CacI^Asyk~t#)gi$fw{V&iI;#pqc710?>$;X7^dJ*>+t$RAJi^-FsEw z%5uos6RCdy$bN2rflwvY+W&Wg%gh55qwOdkw9g>@Z$2ny+Y>R^FM{;)kMChKKbR$P z`W`VDMjMkp7?DtVK0g>%n`t)KD}FleH`f%Iixu#HgI`>%=}y^T*x+1T9REpu(E#l= z-Ia6Jl7~sPo#0um6SmRT8QZ&%!4X)4yP&?EOZ}A6PM$Dm>l=*R5b0A_J^qfiZLPh) zPNn=>-`DlpPGZJVc8uNX9TQ|zD@!YrJ?LL@RkV#w4Gp0Gw7FGmY$ypt%BGEJ#Q!ef z4k6D%Wr8n=Jc4*2ed9p!`REvh!GJ%mc3@hYU7%*caX-O8bz930jrr=yh2ej|yF-H4 zfCSan))v(&GBLz44KM(+7DPw_n=oOFL0cg~DN;1tglPYO99yKYgR+vqjLj`z73`W? z!b5>!{1!$Tf*k^>599^2`%(lErWqo5Cst5o0Kx{g2NC3c!8AFAtb$^cvIB=oQd8MM zRMD#O&_L88c!WhBmH38MBEOLFA>5kZX55HDroSXx%f2Knza+mj2{*ptw3Sy@Ko5-z z*nT6J!-f?!evY;&p}^Aw9y$J7AO6h$ODv)Yyazr0@)`qSf!299>H4=ym$~{AB}~H~H~4TGZ!cKfCo*1j7p5_3vW?`E_qJAD<&!)K1{@ZA{4Q-JPVWET*v}g<`%B z{#r{14lE=qm^2N<{Yc0#i#O{F{#`>80QuD;@D=f5l?`(Kl}w+-%LfeF0DZM%_|idc z{qp+~5BnNK!w&EC1vK5=f@bjW`~yALU*`uAoQ@a#l4F`3oylU18{yN-P4#CYOvqoJ5O+}d=V9uFO z!xE}uiF2_qC)OC}!GJfJsF%u2X?7zwtr=#NHxe_)LD9u3laLLvhH(+dFR?RqhZ78_qYPyvYmZv z2r~E9q1rK5?lVoqUSBza*2?@Z?s&-?h~YO_CaY@^>ySX?zXu<)5Dm&VL|qB#R}|C*=83ssT1#z^-x>2 zgbowfRWnsNvYYfX5iO|Un5botV&>;Ji^GTN_Tj#C>2#W@j_($(PrJQq=+^XH1w8T9 z#DmMO-F`FFPiE_**=?-_QE?aG&G)oHG{ z8-`cE6H&(aqGbA6;V_ijuAkC*%k|n{#e*nLL^kJ_^^XgXKiwr$lr^!qq6BT8@U}?h}WhX zTs>c3uKFJyK8YN9r$!a9k&5w-{zkaw%zT2SAqP(564mY* zQ+cfMuRKxQ&rFDqjyVFko`QS4UO_HM#4YlWtw=a5_p0u9laU`eOav1MJ<)I%Lf)Lh z+ii;Lf2F;!4U4RIL$E6r!|rp|>1DFS5rDtTl4ElqOsTtlkGVj;b+BpoEzQ(DbIe>} zJWsV{lx3xy?@p51dgaya6S$cn41WCnMJTmaXnR^q5`Yr?WDN`RwNhIz^9|{s#qfyg z`aP6JPa z2swI?ki+qTN7NQJD0Q?-MI^3NcFA+U*g50%q z^ajtI91)25FB?F-wL< zz~Ejkcb^Go(Zw^V)wT)6{|=Iim;(b;8589w1dIYwWF%ny$+vEN*d+INW7tf3R`2%b zrl<LRm<$MEL@dFDw=y!eHUFF*dJV=jc$7-WyWNEDpLTA-bMYcDoOl(QPJ_<@ZK8bK~i z?j8z`&v420q5X?frO*H;i{DYQ4now=G#A1P``1mnc#&P9!lE|+-xgurYMHEOTBR2*e zf)})vh`zid3hkKOZkOnAOTg_|%Vfx*3x2N5UpY~ki{Tp2^82t8P?HvpcWdq>THlC9 z`%S*JHT3*!7Ag zc>QKnXZ5djTYLLt70tRC(BR3U2!hZ-->)mxm3JI-HUI3d_*mJ=#(=X=6`M+m2)Bqs z?ZdSve(4gkVhc*gSY88eF5s!gthB-OJuBy700x0I(Yq)~{Q2m~q9??|2eN;5l|CUa zWOSbWO!ZHk4v~kegse1KemH%#?$o7hL<&qy=|oKBfqjQ!PUwWVlt(~&K$&hbHlNSB z5>@|fz$nqhr{4wEF)(_dzSCuKWkCmlj5e7n%&Ojn1I*oN*rY#B*YRdPQENhdV~$Jr z!7%ez5Wqu#!Hc>`FBF(=Ussw{LX+I33IWf_VbMMkQ({A{Uo7M#A6{qn_o?=pm=+Z` zq%l0Co&{1u_#1^2%w`vhc&*@%t+~7O*(WYS6!Z4%LaIJD4$Q*ioPFKdWUf5}N7SDR zOh}%DEJVD@;t^ZB^muZs<=F&;P7O;jDNM-c-HGmu?^aKZ#4@d1Uq>c?9xY_Ax{=6i#dPl{M)HRmU4tVo(wk!< z+?){`L&`jP0SyinG|VVVF$MekmJqLR!>sV{S!Uvy5He^oo2r+(##09ao?0m95Eg=K z_F2O}P2u|LV9}5nk@=sFt+Y1@@6zuI%MuG)?^+9!lZZHQ|Hbb(aml$PuJf@lqGTj? znQfSs%G7oW+!kfdX&$BlhWnR;BKeL?v8*Vj)457SfeW{Ru#`A*bpmSIi>NoHo}*jf z!nnM0%bB;gELm&GQ^Ya4zJ2TZ930~gxE(ng-X5KZUU@m>-nevsY>nf2gACR{X|x;E z2y-zFnsj`{`)Qr*4@IuR@Unf?dJuCeC3|bZag=5F1%*D2EqPcw<8g&r;viIW6K5cv zj1DuhfaPkvDdcOPLwm+TE7DfnTJhF#mxZ)AHq(qZ?ZikKbP4pQ03N;F--|uVL-Q?h zWJB9}mGw~Q1C1VJiP|qV7Q>Tn%V2_)(OexY9HoZXbvBU73`4e7w*ueJ8CF?JryHB-c?;U#TpmBGcSfKfTKdqr8h`BpgF0jUo_u%gx_VA z?esI|@Oqn|j>vpyN7nmdcOg?ZAY&zX)lhNLAK%NlnxYKW8_;4RYLN=n_4G?i9#r2Q z0RuNG+xEwIf^G^S^LBFOGLY3>t?DAATG|zjx_JLpNz+6$lcai@vPa?z;cF>3s7D6h zt`j>5w9~EWRm+}|9UBqjP5s&l=w834+2xCW#<75(eNkhWO|3N_ApI!e?W8k0oBWeZ zXKwKD@FGiD7__yAJA==zV{y$+yr3_t13WR_SL1WQ1)c?nXJ6(ueA}y{d*4K?%{W15=${FniKFZQL5F?U?X{ zDkxBG@n(7v=jAdHx|wOjSowgYh;>_zRPi@sDpeW!@JRwMy80a=6sJfvR&mQ@9{9vf z?!F`iE_7nrs1O z+M~fWU;Fjtip-|F!V~%n1rL@iJa9|?J%`&r9C%)qS?QNSZ$98M`P+dwv@RvyvQ_0m zQ=HKwVz7(tflcDiwTLSSs(xNvmMou=%c?kunsliaMIdd4u-i*yCEmiXL!o}%My)A$Re#aQ8id;d?hFne-v+;4YG~0(Ynr^V zUd&_B-t$xp$QK%4akG28HV=**ted&C;y8j0AkPE?Si$Jl+b}{}fop>~L%yOMXF2Ez za7hpn1IJFC6Ot*MJEdz(Y<{cEG=z(6Rr`zrw1*$Zxr&ptlL`={`+D-`>i#^gc&e%( zn_kMI-;W}sdQt{e!bmJ2q@D#}Z&?=w3An%oZCw71v;K>v%cT}vQ(amE=>ujJN7KQ% z;q(a+>VUecWnpJ!;AaCp(0S?WVW~4EiE1m$wwtsCOc7mT|1_(Clbzaq8B-^7`J5Xv zG-eNTW-dq(W?XNB2a{xzC)%*RB}QwtY0yV`?~<{nx_rfBZ*<7m8gs>1=yHhF9D})Q zHJ|qgH>%pXmgUmOrW_0V;v@1_LO?G-w?4J%Df!ImE2GX3SR*@_Hmw#LLcZwZ?>}(W zxM#N6^l%oD?2=jc_e;GHIb&qV{mA|Y=~my9hq(>u59^MfYi5qn>e*kd2SkrOd0d3h z@~zCA=%jPM*YEs>^SuH`N-we*=w~E*os&r%$2bO5m1=IGF&1nTwONwh3$~TG?LI@Q zXGSsDnZhP$fb$8FpHy0wOeC8+X1&bJ0KQkmOaX8Gd}i3F0c&nizUShg>{1u~lSM?3 zIu=7uy2Et0g);+HvwXSb_e-P{)!zbACwazwsPP!?cF-YVh!o;u9A|>r$&Cj$t<3ux zIgB+!qRhM4jT*5nX1{Ffii7UBJ_MZ44{DJpOY1}t3|^KLpo091#SxMoD(-r zvn>OjqjDaGSsmIz(<{mXt0p0YHQoS_#-CWk)Le{|k}NTAX$jKNZ}pAE%7fcD+fPG7aAqJ!E{!5-n6mo1zYz-`1cb~F% zs6?j{wiQ?(fKtLJ*##pIPRa?@h0?*f%~o+$_`GB3D8j;*SsJ&({Bu=NL8WA)ALyvy zS6YK=YN@MagX%e&pS;)mrO!405Tk%DiNbko4dEBHXqOZO`RU2uGHI3% zA*9J!Hzcxb%WS1hRLH~|1cxYWil~LrQ4_Z&Jfo!}(W%B#;#Yxj7>Sqal3%wN;mZBK zOqoq69fpz(PXaNFZOG=46rPE*TMCo6$(0MP&dYICC2NRMld%h50UMy7ilFk>iVMLu zz=L$nhq?zD4Rd59iU~W75uH^Lbi}2Oo)=B00dtYeTJ&49#(cxgG2HU*@|BfG37oHQ z8|!6n`I2iWUa>Q=w5$g2^|Qh>ijz_e)5P1NtDAwDh5X_ggVb*wLwCZ6ZdVd>AM}fq zD+*$^W)LC!6VvC@+riRwuM2@GGlzg3Miz`>qps9H&UU7Mg$x1Usg ztGG@`jg{=KcN{r%ZBc^HQ5;o}ak1^AOVyr96KtL&#&FP`6}c)!V8;p^#F4s0$xpaV zcSL_bBV<6rayuN>TE5kWok9_xJZ&F|j2`w>@#pJP}~4srKTOknm}KpZNg z!77%KC9Q#j^VCS476@09rBtoG*$k{yG+Na^aLOojzATRhLck6pygr3!-LiV@MsulA zAHyE04q-Yh>*|O>shia(34TIKe3w3wo_4%d)$?aJ>!%MSdzYi9GPf$$gUc+St};%C zELGb(Tp~$t7J9jev~>%fuuJqhaH8ou3q)0*;quM~YWlMNusjeme{P+jOBo%N+e@+3 zI*A-f5u^z-RLU-NXyf=?I3rVI=TzJj-FZI;C^WfQXI$FP+wbkAYIfYCu9K% z!4}UoD6R?3=I~71vfBJ)2*q>7!2ldF>oA9#si>soBJygd4P`r+rZq7@Jmb|I`sRRF z%CD7Y&qvw}`U+g;CW|TGMzAvBPWKm;I62%!1q(zi)T7T;UGqOQ^lGM#aJ0Rv&M)ax zqpQ4bq19cP77IpNJ~8;3U5gl(B08VJ>eSa+{LpQ-mRqZ4=<}%y;wH0}RJrHnn=%Ue z`iLW&nlxB|5N05Tik&KOuCZ+yvn-j}mL!m%Cnamy)^ur)bTz;|m5CV%>93C@j(8qp zoGqKLCm!Q?d*7tFofi?#Iqp#Sy}_t>iYtH8=yuvl6NJ`}zEIm=NZ5*Os+feix4Gb9 z8A@F8iX+KvY3psy$Xv))JF9fA0EfK>7q-~s_Y8^ID6jPd=Qdj)zTcw^9%xzpU~f!$ zI{acoY~+Q+*!j^S#t3{KBX*8uRTOB-QX3Ho_ad=|Zm$k#uw>CjTeR3sl%zAHnywtw zj4xKVe&c8H>~;}htO(|BTyq-7KDd;OIR7NEsVNsW0CH~C7uYTLsAP?v_dd5YV{8KO zrcO;J#l(-Dm!K1PV*e7kj22B+=vi;r(Hjy&!GsJr9azbGkl^9&u+$!Gu|KxZ$jU|I zlCC%T7R?pTemJMu2vkgJ6BFnd{)TqAXH6rV5T#;*a~P}n7~9M1ZIVsYdQu68(8EWZ z>o2!%#zNT}CGIs6@BK_tu5ax*|Fw1bg|Q?^M7<#10*eS-P?|=?J?HtNbqN$31rWZeHqqs2pkETrCxdj`)cyuQb=V92oOt(U15`>4tY{4M@4nv z^BR|QT=OStw$+A;?2XSXHT`tzsagAN_F>E})no*EcVd&Rm@fOGs^i#>41B1ovQ7Qe z6W&&Rb@4S4I7Ux9w^mEVOo?Ov^)$O_PtSdn4EzR85{`?K?@Ixb>9qaD>iF%~!cL{L zF=XCW$xX5UXJ4DTPho&tZ*1}oRBGCU><^sEE>ciB{mQ$_r64PObmz+tYB_>|kAiyL zdoq1shv_jJN5jB?2t|3@hIL=JNT1U{JjzCoUZBMo4c!y}(=h#YNnY8%oVEKXgS&qg zK@2BF(pDMH+RL(PlB_sL`#+tYzGc774^SfIb6f+3ve z#lJ+)-Vo1cQ$8XPS6gmINaTS=ziOsIE_W${E!~r|i0nD-Vd&b(5?7si8IMMk#6z4! z_ef(Tk~F(@-UsKE7W{f0g6r{$?2g={7P8P-E3jy3{3|Srg$n6l2jqy541;-iluUbPOQG-RAqDpa13t!b;4LthZMb?ZXTl1Ez>4`cpYiSw2kS zBaC2wiSjm+q5-53FJ@mvHyC=`-Ok~LuQn*A+B!4nn~&4KL888!_RDyx6Wa^>-Jy`B zx4#$tAI0$hj+xTZrfUOF>w@`&dE#kA*H3Iib9>nY5@KCpdhHn-L>7b%e}7y6iQ}23 zwe?Erhp$`rvMu8wY+$g{DLdy&-0gQeub?@%^Wau5!CBwaBv0C`*kvK)zxb3MLVYLK zZJm1oVHBmVJ^wsLb(FpEJd{I0=3*m3Z?)CIhne^hM+0&dw^-fg7c8x$M-px#!?j;b zl%xzfx)1iPS~-Q#3Ci(Q<3#0v_47G8H>p5=eYfZf-AJx@pLQv>epKFb6|1x?XLbff zHE(VuByj1I(i-CX&PU1n?4=crm)6{j>Sz47r=DP>ht<_I?hX5O{FjJ{3x>if{E=#; zEpi+?zma+<*cH$i?-RLm04 zmxvPO#1X3o!7wqayMQSr6?<1?cc?Wl(|^W@^y>21>l#~)8Xy&5$YX+c06U3eLMWaEq{zjPTwvXfZW z3f^DmYC@&`7v3s-Ld+U?X0zLuW!7E1U&o{oB zCzy5kX)k@X1Q1}AVFg0?RJFUK*+?-0nJ?$NwrlQECilLRA1M6jtg4{?(pXe`BY5DWwfGwegvocST@@;gt3@In}^}Qg$lf~{LQB!U;$ZVDEm-Zeu_Fj8iA<3N@zu~~Q5I}pd+oC;$f(v|S> z%|6TN)Ft2;VF&86ocKLNYsm|g?;(=*BS$R(`Jl|taDh^suYNI>l-_*YRh>aJJ=TsQ z%~rK#N%^qXf3W*GOJuAkWc2j}iG76Ar7#+vlvkVt9P zu%8~&&kwfvVkYY<+E!anG{+dVo`c<%20V`@`JQ^&ULp zJS9%@zwFRN4EEEty0)?P_5{;$h+9YUAiY!pX$O1W0ec z2BXE};D%$Cv~h8DBVlL%KOhwnRvuRN|M^Fs($#TA@4yOps+-;G;RtPU)L2kQ6~%!Y zND2WFyD?5u+{GK6{znLPxV&{m(6V9AN5!42HyCWu;^vli#gMfAC<;sMg24-io;oY? zFCnzw+C|iACmC}jDj}IgQd~KUq!Dqzt1$IJz&3=_x*BV>r~$`w+q_{tICX0KBDWqW zbG%>U1ht-utq5P7ias3-fZ&1V;eB8^=P%i&52W{(*kReWL2bT<8rrT(Ld)A1wnFY$ z(cNhv6NB03$@ZHulY!BF2Ek$hkLgjcZiJDw{aGRW2&Ih!Dso+qF)n7lP>-nw5cfd= zl&(i>QXo1NVgI3IW=Smy@{6%Tq+kd3+BA&O4TexOlA5!T1n+o-@cx!FM|Qx8%`!&k z5%I1PpPp48M3j}-mO#P(WlwGrD}|@d$;S;wc;t7rGnmK!>Y)Mq;^Soau)p`Gy>{e5 z9>VLffEu}SW#7j~b!yv^=wF>;cyR6?ts51S-&R{(fZuoifvT+gyzoLGPCDM;(WiFe4?e`PP8m&9~b1Ph;Zc4bup(Q(Ux=&krU9u zvLgna^?O1Q?Nh(KY`pF0?n3Z7!Hr85dO;ErCqh+^C|1&X1H)9AygvI z=J8{Ws@pybjkDEIf6tcj8eI$Eq>}k!Swzn_S#L`B3tikY+c}%984_B|a!yPAO0!9y zuhQ`ggxXfix$(8U()tMx)1$_3t>&~X_Unq^ct~XVRv~frwJ$b`&vb7AkMm?})KV?U z4bic`qw2&~X8XR+;`PJc%;BT@HW~6QSGCJNBth%QN^AaZW_M2%w?hx;kDI3st>h_) zS``l%5sYhFPwUk>Rl72SIkuP|wZVWkbp*2v;_%PWRTIWk^MOtMb#)ALzajmKPHVBW^1Pzl09TKs1; z#Yj_eqPaBqOt&>wx?*eX+Ads)Y%n|#Xaq<5aHc7nD?3CTJO&I?Vj0pCfEbqTOxmj4 zT`c_V2NgBddO;9Sb%?w{4`bzAp9Gqmhea_CG%pWT8b(pH^MYEe8LAZK?BDobPWD`# zvp*fBMwVYPde3faWE13ZX7g!@5ju1*Vcoc7CkOBOF z3B$5`26jZN!`b`fGL7pF`x17Sjzzk&(x1O~<{`~``XDfYY-N)ya{jje>_8qJUBu@^!I zBpNro9SllL7c&{PQv+8uKKw<_5rO?1B9RoLJi_fw~4}v>Re#LjFkmY zX2pL9{5oxVn0e5ID|$Hfy|`B}Qs=5WGU`))5C1v=-hnN6ezKdz!em8`p->?G8k1Bl zn6<9qG4}rDZ5@&xeprQL%$U0J*RkErtKn3AecNE@&_K6Z5gs?Pkj{9hu>)uPFKgQ- zb9Ug77nA*t#%iN?qLt`Mqo&}{)(zBv65-{24v(Ps(PWWt1}l6LS=ohqMW?S)mn)|$ z;e&%J&~ART+D4^4O;vLhiYQ1?1&rO&xixKr(~FhCr3{Ub5EUhqkT3X#z#|rZ9H-8{ zJzaG!1@-U>K8N7l=0=;&!1nk@9XKePZTH-XXZL8aoFE5d{0L@1W~Z_?lW`h(m9w-} zYb3>GdK#r#3)Hu|xio~SW>NjRx~ZEFCvGkgTqL*8MqMZGEEqo~o8vakx#zms z?N7BK&9G3qrOFfFK^TP;E^+mS_bI*RbZ$L@rM*F*f*^#gXI2(9|L+gAE-#GgVmX|g z9ylx8ZGY4j#BAR9BDIfT0VLg3CYkau56*2_x$@y!59YeH$;{B{87tnO@5j%7nB$*3H*G^B=e7yj)oObiT& z)IuKj-z-sG!1(4`-S{Tjt6`% zB1rp3C)@k+l|&j=58XnPdAH(u+ff2(<&8;;&u%PT30B`MBmQ)37aFNs)wlz3pyEhs zcaqkk8#hm?8c%Z5sT@aI(~g$T#H*>UM&qX~S>1urZPj~Mmg1+L@e2L`PCl>JtDcJB z=GKPqTW5hCXMr88g+h9ZpAb#eJ7Eg!p1_DF@fenV{LeGr?M?_{a3835xDc!4Gul0` zn~PI0Ys3R$#DYE2>vgb2=9n`s;L8(UtB8H@#aeK&mg6Sq+J{v(8P4(*TK{;dUVY2f z;3Fucg9uj^QoE)j(vB6(CZ`*$ejv>I2w_ZG4A&Y?-<#>x;+!0(;HF(y9yN0hSvU54 za_{23K!$(VzraK>q#&O^;%%{!%$`O&DM0PH9YOYU}?@D(1C5mHhK;3q|*i(^m zS%JO=#nhN0U5<=Jr>Aq|mzT#zch-(>0=LGx*E=7UB7*aho#Sw}#DE}kopt)8tl2lU zPj7*Ws`;5>)=8z(uAGi0)Wuoi>8<)$pisAuqm9^i_l>*lPlj&;tpkz{QVJUSvlFRdyYr&EbJgFso$Zfkt5I{y zRb{UuDQL{aj||-2G+nm7Px-fmqIl%;(ZPJ5ZMGcWgm*w8H*DJ^Rfc~#Pp8i}ihx%FB_tJT>55F>b@Y*JsYo>?wd(U_PxqqKFPu~8bKPM+U+ zCioj>WrZ0<--G-5%ih`xCYSx$PZt{Whd!|OB@qhrY}#1!MlP=f{RPePev~BOrkN{e z`iH76cgaw+$Xx*<0r1ViOV?=fxKEPHXWMr`3%PP)AlML7QJiq643nr0yVoa457j{{ z^lbsN_CvINVh!GiN2X@%v8plFD2Y&a#m0AqUMdH3#ToHlAtU@kg88bKK55yE(No-a zLw0FR&Tx}FopQ8A*ww)3?Vs$UXT7)0nr>n@mc=2PX|=)>n(zESx!}eC4oziuT-Tc%dJ<+o6r3#FziN zC}CDJG|9eIU3X%KpU^m;_w?#Q?6x;?m;mzVd$(P_f4k|JLw`Ep4B@Bo6B+Ae<~)tB zc9jEeso$3RO1jx;50LQFm<6}X>%?ih?MWP2FVIu*2ucDeu`91|s-onAk&6|_6ItqPkFVPRCNw$Z znO=&5-fDjEO#2j$`Uu46gb1D$dz-<8nLtRIAdHwmQW>MkvRL@{3~$(+aiMdV5p(48 z*&1z0POUJv{A&D2j?MBM_m^Vi)=(_M%B{UDO3NWSRhg)Vep8Hf 0 then + ready_delay <= ready_delay - 1; + end if; + if ready_delay = 1 then + READY <= '1'; + data_int <= signed(adc_data); + end if; + end if; + case state is when Idle => - READY <= '0'; - bit_cnt <= 0; + ready_int <= '0'; if START = '1' then state <= Conversion; conv_cnt <= 0; CONVSTART <= '1'; end if; when Conversion => + ready_int <= '0'; if(conv_cnt < CONVCYCLES-1) then conv_cnt <= conv_cnt + 1; else @@ -112,8 +129,10 @@ begin state <= WAIT_tEN; end if; when WAIT_tEN => + ready_int <= '0'; state <= Transmission; when Transmission => + ready_int <= '0'; if(div_cnt < (CLK_DIV/2)-1) then div_cnt <= div_cnt + 1; else @@ -123,6 +142,7 @@ begin sclk_phase <= '0'; if bit_cnt = 15 then state <= Done; + bit_cnt <= 0; else bit_cnt <= bit_cnt + 1; end if; @@ -130,8 +150,7 @@ begin div_cnt <= 0; end if; when Done => - data_int <= signed(adc_data); - READY <= '1'; + ready_int <= '1'; state <= Idle; end case; end if; diff --git a/FPGA/VNA/SPIConfig.vhd b/FPGA/VNA/SPIConfig.vhd index 02077ce..66882df 100644 --- a/FPGA/VNA/SPIConfig.vhd +++ b/FPGA/VNA/SPIConfig.vhd @@ -51,6 +51,7 @@ entity SPICommands is SWEEP_POINTS : out STD_LOGIC_VECTOR (12 downto 0); NSAMPLES : out STD_LOGIC_VECTOR (12 downto 0); STAGES : out STD_LOGIC_VECTOR (2 downto 0); + SETTLING_TIME : out STD_LOGIC_VECTOR (19 downto 0); SYNC_ENABLED : out STD_LOGIC; SYNC_MASTER : out STD_LOGIC; PORT1_STAGE : out STD_LOGIC_VECTOR (2 downto 0); @@ -270,6 +271,8 @@ begin when 15 => MAX2871_DEF_4(31 downto 16) <= spi_buf_out; when 18 => DFT_BIN1_PHASEINC <= spi_buf_out; when 19 => DFT_DIFFBIN_PHASEINC <= spi_buf_out; + when 20 => SETTLING_TIME(15 downto 0) <= spi_buf_out; + when 21 => SETTLING_TIME(19 downto 16) <= spi_buf_out(3 downto 0); when others => end case; selected_register <= selected_register + 1; diff --git a/FPGA/VNA/Sweep.vhd b/FPGA/VNA/Sweep.vhd index ba14819..c2408ef 100644 --- a/FPGA/VNA/Sweep.vhd +++ b/FPGA/VNA/Sweep.vhd @@ -37,6 +37,7 @@ entity Sweep is CONFIG_DATA : in STD_LOGIC_VECTOR (95 downto 0); USER_NSAMPLES : in STD_LOGIC_VECTOR (12 downto 0); NSAMPLES : out STD_LOGIC_VECTOR (12 downto 0); + SETTLING_TIME : in STD_LOGIC_VECTOR (19 downto 0); SAMPLING_BUSY : in STD_LOGIC; SAMPLING_DONE : in STD_LOGIC; START_SAMPLING : out STD_LOGIC; @@ -92,8 +93,7 @@ architecture Behavioral of Sweep is signal point_cnt : unsigned(12 downto 0); type Point_states is (WaitInitialLow, TriggerSetup, SettingUp, Settling, WaitTriggerHigh, Exciting, WaitTriggerLow, SamplingDone, NextPoint, Done); signal state : Point_states; - signal settling_cnt : unsigned(15 downto 0); - signal settling_time : unsigned(15 downto 0); + signal settling_cnt : unsigned(19 downto 0); signal stage_cnt : unsigned (2 downto 0); signal config_reg : std_logic_vector(95 downto 0); signal source_active : std_logic; @@ -103,7 +103,7 @@ begin -- assemble registers -- source register 0: N divider and fractional division value - SOURCE_REG_0 <= MAX2871_DEF_0(31) & "0000000000" & config_reg(5 downto 0) & config_reg(26 downto 15) & "000"; + SOURCE_REG_0 <= MAX2871_DEF_0(31) & "000000000" & config_reg(93) & config_reg(5 downto 0) & config_reg(26 downto 15) & "000"; -- source register 1: Modulus value SOURCE_REG_1 <= MAX2871_DEF_1(31 downto 15) & config_reg(38 downto 27) & "001"; -- source register 3: VCO selection @@ -112,7 +112,7 @@ begin SOURCE_REG_4 <= MAX2871_DEF_4(31 downto 23) & config_reg(14 downto 12) & MAX2871_DEF_4(19 downto 9) & "000" & MAX2871_DEF_4(5) & config_reg(47 downto 46) & "100"; -- LO register 0: N divider and fractional division value - LO_REG_0 <= MAX2871_DEF_0(31) & "0000000000" & config_reg(54 downto 49) & config_reg(75 downto 64) & "000"; + LO_REG_0 <= MAX2871_DEF_0(31) & "000000000" & config_reg(94) & config_reg(54 downto 49) & config_reg(75 downto 64) & "000"; -- LO register 1: Modulus value LO_REG_1 <= MAX2871_DEF_1(31 downto 15) & config_reg(87 downto 76) & "001"; -- LO register 3: VCO selection @@ -184,13 +184,7 @@ begin -- highest bit in config_reg determines whether the sweep should be halted prior to sampling SWEEP_HALTED <= config_reg(95); RELOAD_PLL_REGS <= '0'; - case config_reg(94 downto 93) is - when "00" => settling_time <= to_unsigned(2048, 16); -- 20us - when "01" => settling_time <= to_unsigned(6144, 16); -- 60us - when "10" => settling_time <= to_unsigned(18432, 16); -- 180us - when others => settling_time <= to_unsigned(55296, 16); -- 540us - end case; - settling_cnt <= settling_time; + settling_cnt <= unsigned(SETTLING_TIME); if PLL_RELOAD_DONE = '1' and PLL_LOCKED = '1' then -- check if halted sweep is resumed if config_reg(95) = '0' or SWEEP_RESUME = '1' then @@ -257,7 +251,7 @@ begin else state <= NextPoint; end if; - settling_cnt <= settling_time; + settling_cnt <= unsigned(SETTLING_TIME); when NextPoint => NEW_DATA <= '0'; if point_cnt < unsigned(NPOINTS) then diff --git a/FPGA/VNA/Test_SPI.vhd b/FPGA/VNA/Test_SPI.vhd index 7b73d0e..17a1a13 100644 --- a/FPGA/VNA/Test_SPI.vhd +++ b/FPGA/VNA/Test_SPI.vhd @@ -67,8 +67,8 @@ ARCHITECTURE behavior OF Test_SPI IS signal COMPLETE : std_logic; -- Clock period definitions - constant CLK_period : time := 10 ns; - constant SPI_CLK_period : time := 100 ns; + constant CLK_period : time := 9.765625 ns; + constant SPI_CLK_period : time := 25 ns; signal data_signal : std_logic_vector(15 downto 0); diff --git a/FPGA/VNA/Test_SPICommands.vhd b/FPGA/VNA/Test_SPICommands.vhd index 957a524..07e8646 100644 --- a/FPGA/VNA/Test_SPICommands.vhd +++ b/FPGA/VNA/Test_SPICommands.vhd @@ -41,33 +41,63 @@ ARCHITECTURE behavior OF Test_SPICommands IS COMPONENT SPICommands PORT( - CLK : IN std_logic; - RESET : IN std_logic; - SCLK : IN std_logic; - MOSI : IN std_logic; - MISO : OUT std_logic; - NSS : IN std_logic; - NEW_SAMPLING_DATA : IN std_logic; - SAMPLING_RESULT : IN std_logic_vector(303 downto 0); - SOURCE_UNLOCKED : IN std_logic; - LO_UNLOCKED : IN std_logic; - MAX2871_DEF_4 : OUT std_logic_vector(31 downto 0); - MAX2871_DEF_3 : OUT std_logic_vector(31 downto 0); - MAX2871_DEF_1 : OUT std_logic_vector(31 downto 0); - MAX2871_DEF_0 : OUT std_logic_vector(31 downto 0); - SWEEP_DATA : OUT std_logic_vector(95 downto 0); - SWEEP_ADDRESS : OUT std_logic_vector(12 downto 0); - SWEEP_WRITE : OUT std_logic_vector(0 downto 0); - SWEEP_POINTS : OUT std_logic_vector(12 downto 0); - NSAMPLES : OUT std_logic_vector(12 downto 0); - PORT1_EN : OUT std_logic; - PORT2_EN : OUT std_logic; - REF_EN : OUT std_logic; - AMP_SHDN : OUT std_logic; - SOURCE_RF_EN : OUT std_logic; - LO_RF_EN : OUT std_logic; - LEDS : OUT std_logic_vector(2 downto 0); - INTERRUPT_ASSERTED : OUT std_logic + CLK : in STD_LOGIC; + RESET : in STD_LOGIC; + SCLK : in STD_LOGIC; + MOSI : in STD_LOGIC; + MISO : out STD_LOGIC; + NSS : in STD_LOGIC; + NEW_SAMPLING_DATA : in STD_LOGIC; + SAMPLING_RESULT : in STD_LOGIC_VECTOR (303 downto 0); + ADC_MINMAX : in STD_LOGIC_VECTOR(95 downto 0); + SOURCE_UNLOCKED : in STD_LOGIC; + LO_UNLOCKED : in STD_LOGIC; + MAX2871_DEF_4 : out STD_LOGIC_VECTOR (31 downto 0); + MAX2871_DEF_3 : out STD_LOGIC_VECTOR (31 downto 0); + MAX2871_DEF_1 : out STD_LOGIC_VECTOR (31 downto 0); + MAX2871_DEF_0 : out STD_LOGIC_VECTOR (31 downto 0); + SWEEP_DATA : out STD_LOGIC_VECTOR (95 downto 0); + SWEEP_ADDRESS : out STD_LOGIC_VECTOR (12 downto 0); + SWEEP_WRITE : out STD_LOGIC_VECTOR (0 downto 0); + SWEEP_POINTS : out STD_LOGIC_VECTOR (12 downto 0); + NSAMPLES : out STD_LOGIC_VECTOR (12 downto 0); + STAGES : out STD_LOGIC_VECTOR (2 downto 0); + SETTLING_TIME : out STD_LOGIC_VECTOR (19 downto 0); + SYNC_ENABLED : out STD_LOGIC; + SYNC_MASTER : out STD_LOGIC; + PORT1_STAGE : out STD_LOGIC_VECTOR (2 downto 0); + PORT2_STAGE : out STD_LOGIC_VECTOR (2 downto 0); + PORT1_EN : out STD_LOGIC; + PORT2_EN : out STD_LOGIC; + REF_EN : out STD_LOGIC; + AMP_SHDN : out STD_LOGIC; + SOURCE_RF_EN : out STD_LOGIC; + LO_RF_EN : out STD_LOGIC; + SOURCE_CE_EN : out STD_LOGIC; + LO_CE_EN : out STD_LOGIC; + PORTSWITCH_EN : out STD_LOGIC; + LEDS : out STD_LOGIC_VECTOR(2 downto 0); + WINDOW_SETTING : out STD_LOGIC_VECTOR(1 downto 0); + ADC_PRESCALER : out STD_LOGIC_VECTOR(7 downto 0); + ADC_PHASEINC : out STD_LOGIC_VECTOR(11 downto 0); + INTERRUPT_ASSERTED : out STD_LOGIC; + RESET_MINMAX : out STD_LOGIC; + SWEEP_HALTED : in STD_LOGIC; + SWEEP_RESUME : out STD_LOGIC; + + -- hardware overwrite signals + SPI_OVERWRITE_ENABLED : out STD_LOGIC; + SPI_OVERWRITE_DATA : out STD_LOGIC_VECTOR(14 downto 0); + + -- DFT signals + DFT_BIN1_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_DIFFBIN_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); + DFT_RESULT_READY : in STD_LOGIC; + DFT_OUTPUT : in STD_LOGIC_VECTOR (191 downto 0); + DFT_NEXT_OUTPUT : out STD_LOGIC; + DFT_ENABLE : out STD_LOGIC; + + DEBUG_STATUS : in STD_LOGIC_VECTOR(10 downto 0) ); END COMPONENT; @@ -104,8 +134,8 @@ ARCHITECTURE behavior OF Test_SPICommands IS signal INTERRUPT_ASSERTED : std_logic; -- Clock period definitions - constant CLK_period : time := 6.25 ns; - constant SPI_CLK_period : time := 100 ns; + constant CLK_period : time := 9.765625 ns; + constant SPI_CLK_period : time := 23.52941176 ns; signal data_signal : std_logic_vector(15 downto 0); BEGIN @@ -120,6 +150,7 @@ BEGIN NSS => NSS, NEW_SAMPLING_DATA => NEW_SAMPLING_DATA, SAMPLING_RESULT => SAMPLING_RESULT, + ADC_MINMAX => (others => '0'), SOURCE_UNLOCKED => SOURCE_UNLOCKED, LO_UNLOCKED => LO_UNLOCKED, MAX2871_DEF_4 => MAX2871_DEF_4, @@ -138,7 +169,11 @@ BEGIN SOURCE_RF_EN => SOURCE_RF_EN, LO_RF_EN => LO_RF_EN, LEDS => LEDS, - INTERRUPT_ASSERTED => INTERRUPT_ASSERTED + INTERRUPT_ASSERTED => INTERRUPT_ASSERTED, + SWEEP_HALTED => '0', + DFT_RESULT_READY => '0', + DFT_OUTPUT => (others => '0'), + DEBUG_STATUS => (others => '0') ); -- Clock process definitions @@ -259,7 +294,7 @@ BEGIN RESET <= '0'; wait for CLK_period*10; NSS <= '0'; - SPI("1100000000000000"); + SPI("0100000000000000"); SPI("0000000000000000"); NSS <= '1'; diff --git a/FPGA/VNA/VNA.gise b/FPGA/VNA/VNA.gise index 1766d7a..33b0c07 100644 --- a/FPGA/VNA/VNA.gise +++ b/FPGA/VNA/VNA.gise @@ -41,11 +41,11 @@ - - + + @@ -137,7 +137,7 @@ - + @@ -167,15 +167,15 @@ - + - + - + @@ -188,7 +188,7 @@ - + @@ -224,7 +224,7 @@ - + @@ -232,32 +232,32 @@ - - + + - + - + - + - + - + @@ -270,27 +270,29 @@ - + - + - + - + - + + + @@ -304,23 +306,33 @@ - + + + - + + + + - + + + + + + @@ -331,9 +343,12 @@ - + + + + @@ -345,9 +360,10 @@ - + + @@ -362,6 +378,7 @@ + @@ -372,6 +389,7 @@ + @@ -383,6 +401,7 @@ + @@ -394,13 +413,17 @@ + - + + + + diff --git a/FPGA/VNA/VNA.xise b/FPGA/VNA/VNA.xise index 9864992..1111af4 100644 --- a/FPGA/VNA/VNA.xise +++ b/FPGA/VNA/VNA.xise @@ -23,11 +23,11 @@ - + - + @@ -55,11 +55,11 @@ - + - + @@ -77,7 +77,7 @@ - + @@ -405,8 +405,8 @@ - - + + @@ -424,7 +424,7 @@ - + @@ -476,7 +476,7 @@ - + diff --git a/FPGA/VNA/top.bin b/FPGA/VNA/top.bin index c7fda7e75ee436ab1590d137f82bc389fb5b1c32..d43e0432136b158c1abc63022fb90979807a2299 100644 GIT binary patch literal 341436 zcmeFae~c{Ib>DZZx_Vi?daJ1>R-gep)GcEHZDa|4^u~LPF-}l_;|9js{nJNC~o4;%B-&~mIt-0#=)bsnl{l4e(=l`?Pn7@>m zAAH~ME|*W0X82TPvZs>7{H5o>KhJf-wcz?)W1c$sv;X?3mkc23H~+jsXp%g8+oZqn zzbW?CASJo3KSB5t&i?Hy`Tu$?QQrsmWkt^}r9NC%jy|KF^A5ayHQ+i?#cq-LZnW*u zSs!@wzVDLu{&gkIubbdM1pdL#XPMr{*lx49P^!A=aF&lrYU#H z)%V#f3E@O#4|0=UzI3q$Jd_AFQ8zNH&Lphrrie=-Vk+CAH>NSls4!Dwd>tC6!7Tzu9Woq27buM`Qm!Nsv0R>CY*-?f zfNGV7H|9_l58?x2W-Ta07g>%wfL4MC)^Z|0&=mlpHJz z18ZDuoUz$7FHkDa)H$bSp4DErM(&`%H&3My{COKB=Vq4Gc4}tnEK5VWw$nr<6HJ2} zx|?si`Uk71*JGK4LMs<2>a<4s3!(A{}p1cAc_IUD?uw&k{IKAqKh+g5Ji%-pq&b2DQH z>9LvTlG=m>gY;xxIAdIUQYQ_arLFQbr3;z1J;~DCxU_9%)09rqqWg4C%228^*(GOU zUBnl@F$}YQVyJhY`x*Vx5T2MJabr-2_=z7VZVY(!O5+>vyaxj(VPOt+7yDx_{m$p# z)eNkgV0}qNP7cY#OvaOmBc(Tk`M{U9@`J{COWs9Z`r^14n~C+#`0-@s;Vl~!ftZ+kf?XXKs#XrkK;>^I1w0QFFA9v0OFRCfGibb^&KiGf&I;+&1Yf9WeON z@bkmMrY6tRS*S{Q6PwYy%c6ka&C^9{3zN0!1X9SdI84*B8Rm9G=P=HgDr9iJB`D1n zcx$|$jE>BL4lp?~-l=*inl}q7Hyt>tS@)@@poKYt-}%8&O>qxN=Mgt1M}Kta=YDR| zBQF#;BWAw@DbSu%yHXZ$qeq41SZ)#mx<&C}-gBhioXnIimE z{N&%>qMJJMi#)3$$F!6W)FkQh?R`aWuhAWK?LAnw_Y}Kx>&{L2fA~Kf7kBngm-~OT ze_L_E^3Li0w?DaX3cvBv2SYzwK3H~!*c-p_bD@Ek=Kb#v9B=&G&&hvSc(C6F)-4Up zrUqt*2IhgeK!acSh5US%dsub8>wGuvfBMr;yzj%R75_6#wvt_m`r7{Nty~_rHI+H0H+rS6&%?;`0G>`xB?j_rCY@%fIqhKJ%H) z)R%>C+<*G%Cti8ti92^_YO}X@^54{o#%+6M>A{k_-C z>r2H|>xw_RM;!k4-h<_GZ~x!}%MZ{B2M7E6KlM{@ym0{P(bpe+{cIkW#xf1PuMMf~ zdjG{oAARxhXZN4o9}XYfs9&sKJTUuv&zfhKimTQYf7HBw8u$nMw~RC}A0UIhy`{;r zFMa6%)Qtx>9$cE|CNbR6E?~a-&42rE6|2Bo_sQDU^)%@_{~?Nq+WwZZ#)`$XHW0>jXB*v4H}qJD&(4A z4O1%8lsxzpPWfr-+u+W)f^`sl#hDxM;|UVL1~&;QBId2Q1${!`gCCkG#5Wy1>e`NP zjn{+wZGx8;G9 zBa`{ePH|^U)RSS&{0NRrmWwzoytS7`>(PG-UXI`q%MdI_EC8Z7NCF2~ZcQvDW zOyyQs>ORP@RQh;2Y!+a%I+H!C>Q@s9m`E}~t_>N&&y<#{=1#HnQvs9RFts7LUgcS7 z6$|TkdNyS7=Kk7jpBtpS_js8Kt;_{7Bsw6&=k*mnYz|fueQ!62%VY4+t!}c)I;Vn z)6!o`lQqsbS+oEtGGjw+mgmD9jaFzg6$4eOB~n%2*V2JjAVzVH_7x^A)d24izL zT=+#%9i_$(SQGGpahG#QGgfBOXrM8L_uRl`F&-3oCAG+J%pc*LT#CV@6`!}LM|@!N z0Ww+QlakK3@%R`Xk`-oB<@6-h1ty1{@gtR;zZWnR$w z>9BClHuL->V=;jo=u)n*rkP?avYJQSk;B}L5Ljnf3Lcn8A*m&EI9%cw(pgPNSPvM^ z-Aw*~WULR&`xPmr+k!(3)QN0v-+EtpOI=XuRENho^y(01#1fgqYR5)5p1$ZCj|u~< zdnzu6!5a(r*fb$LhR!+w4cN+O0TO#3%5K04uM5e`2SXB>^0>%omjdpbCnFfL7;=}k z!!+<&bK=Oi8Xp!zGgcE0Llv0zq@+9NrnJS$;K&S1XXb@hhjMv=y$|P3NLaUsR=5er z8k0prh0>yeMQ9L%eufpCSuzdiT)PX&!V1gPLtD)-;3wdcjN2w_ zr@hS`Y;aTG#>SR4Z-P}%EX0Y9JKH>1`5L*p_=+Q1@y2}U=|FjuaSTb=FOP0;ihBn< z{_Kmv&bYU1F-4eq$9bE<9_NAW+v-UmB%{3grL0d*+#E z{^h@X{Bc=rr0MXR8jwfG<*tzi1K?BDUFQZHjGV3&G-F5)u7m9@|(Y@P~f9AnirZE)?$|jpZb(y%a6Vg%KPGrk3X)s+U>>D z7kBl8#6y2!_~esq-PS7&hC`JDIIF>u_VWJAUtVi)<3`iGu%W?S^W>9CclvB2kG5bf z15mrwr}ZukGSLOP3s)MvcI)Qd{b)09)`9r;Z#@XLp*j#W%NNv1I{3<0?%&YLg8V1H zd3ySu_bl)I`metltlzt*D_K2z=ey{KyD+xGa3~Erbmxc8DAYi!ez6r4ZOld$n8zQ# zf5Wh{AU|rbZ+`vPZ{8H=E)6u&3bJCJS{}4KY%|OJka?lk0Gb%f6Tw2m7vzlYd66=9 z>*1{AOy7AHcHoTbgVER491m%mnX)7F{#ia_S(Fv4aL^OPf{ICZ~lh0gPm!{0h{E*3(H|)Mn4%3smU|!NKdbrXC zJGzw+hpjcqW~EPj?i-(w0QmtzetaO8By=vnfyN zAe#k^ggi7aZ9V)I_6-7>K~_>F6*dGqH=gYW>^yPNvr!^~WOTZ8nBJ?Y`ILhq%s}{^ zn9R-wm@Sa~NtVn{+LNXVbvv3vdYn8Pbj=oZ!yfBX9bpj zfW(gJPt295#blP2qm$H_^1{qT(Dd9=WS7lzQWe-vdF5O_^s{!J9;a?tG^h4Z4W29^n$ zI+#ndOc@VRtM8EEW&_uQ?3#riXKWoY7fl99-TFZ~;$F!8pcpr_^+{4o;t(2LQ>_6Q3Z2doRFZ*@>yvtD<54eTN-j&ao5m*qh?SWPpvHSY&g%v zfgu_cSnru{=#wmrnYiObTdTw4(ImlN*jZ{AqbHOr(2p0;F-eX`O`y+O_GPJFl^b@{ zFX^jolGF=UyI@`vvmzNMy1TE0ysk!*$)KuyF_=}8aQXm|`LHT;ZZBh-!Dx~P`Y^91 zCO-<9H{*_Os%l=27sVj2d|oXUlX*U|)q-Xz7DXWmSAaEcXlz~;`3e3cJDyghSzzk1 zu}k4By^GE>tBUl*GTh}yig*lwf7+F{W8jqlp7W$l#e^Fz#9U)&Gk#?Zg#pt-; z7!{PEF5INCNT7AD0D216^8`0p4;Gd(|nA=1U*xy^@WQZNIWkL<)W+~F4XIdQ7N(Iu+MO!ABQ5&38YaEt*A z8pBzrVkA&$;;0rjose@r)F^>b{80VC`6^wM)gg6zJV@zsUX^VI3*Shos_<3qMpc{p z#dwi}@M91CSak2Gky-1j8MFl)iv{D-0tuAe$@h623(dulS2kͺ+JT$7Y`Xy*+@ zn=Nc!H_0F`(_~72;$gLDr->a5?7Tjdd|NbWLdgjwbtfS`Opff>&gZl~5@xnwA^M@< zLr>4%EerQUPuHCvlVJx3xQZ@|xlh^qeah&+<6c$+fA@d%7n75}`K7Dq#$3&DaR#E? z{#W6SQt*9WmkVIPMri;3BUjO{me32k$hQ#enV;hEGCVD+WVcLDG$HzhQ0PPoPlc5BcyUAL1a^qV4td&t|Y7nbyabH0z5**OBE zif4XU1wzc8l1bMd=C(@}_8WwqcBGJ9m_;HZKq?pZ;73&NvUFQAyRdy+LfBMt%o>dv zfhh|W-q&hYYePxD2EM4zl=*(5=S6@_Zpeq{6u}S=6iwH50yAM6p!M@1hm68_+Hw}F zrRv$gVPIw|j4j#M`82dr*yU1@fP9#jP*bw<#xpTYZ8+(unPKJ<*P!f754;GypgwLK z60uQinN=-E-|{LSw$8Z5O^47dk0C^wbgD`iOv!JK4PeS9t0f|ABoQJ7I})jNna@(5 zr8XH^@tGr@rkakEhxh^2%UNJnp{)?Od6vOx7M5uyPew8yw1GEqhZq$mpXRkU<;<(J zwV%478No2~FqMf{YFDaZ5+qiRr_uzCiK}k-T>v8`oTY7OTk#a>c$j4QIHM6dC=wP8 zSo*C7qr8-ziC}U_Qq641R(DV44A1MBAXPlcEyhbkP(=er&~^+FyK*zi*5;hLLqIWT za+HXhF#6y%hm1nZ`wK}ZchO*eOQYOpoO>_(~eX#zt>whFUzjdK<- z>hcj|LOyTmam(>+rz!q$5xCm%F~=sk)12Dc8Eb9EaY}2boxGsD7-}Y)hI^&Wr=(&3 zoB0|N+{|LMFWtim?nLu(QOw~}p- zlTZ;3PlQN`sT#PNxq9S!(CP&B9yL`QE5b6uK!*#4r8Oht{eT@nJtqwF)d+SR`?e)2 zyo>0Hy%ar8DxYFQaBCTFaU2)-t7p#brR><0UFnEyz_EmCnSuIrmT*R{3VRyfj95D& ztuvhB^v0+yN8S%nkPNUQ@TIdFh|94tlvV}}OcpOk%=$yrV)A?lMfyb;dimB^_G{@k zS;QKIn4+dKTgPCAa%wikX^nYfLPbtn;Rv@0`l`K@$~3qZ!>1&iL6t~doaCG~a89Tt zHHEWy0vBBTtUYmQ1+NVlS*R^7?;Lh(vOs6XcjC#MZh-*BTkKqA;kq7@80<74;ZYhv z5;Piw=LgJnpf_C}9aHCduYIe^$EbzJ(+8a4FZJ0GeTeu3yvI&}8n)l+$~Y1TueR12 zgb6e)2Zp}lb*u&CpwbC~lp5$@-3&bjf2g+9kRD;IY)myBviguhI#%rgBO5%EM&D{F zM^LixGBDP;vUF}Fg}e;}lv2^F@x&HpoDo#^$ld{rGi!+9gcTFx2qz_bz((mi=y>TI z@#a>*s3PU7c@o=Ez)nFC2N}3bdh@oh`#d55B-q#9pWWaze^BsIB|PH*cb}QRw=X( zp`8lRHtCV;th-x-Z+zp4C(fqd)IbuV+{-lRxvtXStUlk}*ePdhZ{0duNqg*64Q_q< z(=Wa8(i@`0Js<6^MBReF#uMu6CeS-ds2ReN(5Kv4w!M#kd@$Ih!P8HtA51^EN$KVE z8g%k@Xduc;tE)8V_76$&E}3hbusyTC_yZ>HH}?3Ui}W79-l61F?_<9;G)=$%_yaDc zR6OiVI-?!UM%rnd5JZ1}Kkl6^yV{Pvc759i_s~E7$?y4Mz4Z9k{_^lIOWF&=&AmTi zs4JLs+V}3hcF))?CJr#V_zi!pfsi3bTzT_{N;p)31~#c%w;Z>p^Q-n(Q62%K2s58@f#-6wf_ z$>%lD?%~xMXn#=}sH|^B1IuGuYj&2YVx1ROnp?%pteB-xm_pkj^s)_Hq8A;HIDynu z@^#It_~cPIfJCifGrYzIc`?dkD^Um^2v6A%qT_6VV@fNO-NJ80TjT|aTlnp$+eUP8 zt2qV*kHE}LGr0f-S-UPQ4!;U_fsm~?ewDr3=GchO%#mvqw=$GuKC6;dLd`5PEm!NY zUNL#f#_&W)sG>fStU^6CBCN4J%cV6jt2yY_+G%dhlsTocp7uYR2|HALPS2P!3s8uq zR{SFj098tBwVdGi$#86;MECT0=(kYnko%dEoJ<@pJMX&OQ}M*;11E;Tnc zI~J3-qsBBL1UF@wO=`^LIU5klBJ-*Uz1S21f0Ry1*Cd{;KGIPD7_)FiF0dB?wsDzJ zg)K($oNW~mF-}Kz%nY#7lFk&Is#$iKMcsD9Ypql)Gcv5!)R(0lu|=6>)|Jky z&Socb8l^=KdQwI*>3tsN84%G_K}%)LNlZ3O(qol26t|{701lLOoIX_~T!^!+FW6SNhA;9;VjMN;{+$OL_|U3xM}#D{45S zH2SfZJsy-JY<72&x@o01o%1Zb+c%(@lGK)#UNTCp;oL$ttWe$u3X ztYG0&a7cv-yfpD4NXH4_=UviXQW5CL7I^)-a6oj941DUD?2LEhG$eimjYbTGbzauH z!JOwkOW3^gE_DOqjCkO6lGjt=UN=QZQQ;H!6+=lG!Z^?`gn0|8Nm*mclV--@S`EB( zx*(CZp4W*CWf{-t1zSseK{bOD4>9fzMh3P)k)$S2>N-uDgrgNrpfMyjf$U&83kcRz z$7GA9;Xp`}%(KJ=-qwuNtk8qdc}fqUSHP=y!jR#DsKnSL+VL$d4ka^*RG7_N+SaTt z0J7d)#&S--Ng7f{C-b!I2%9`pJuRqQY+sr|R{J4a%)|k1RPT)+WgHj>HLxZHA9{jG z)k&ywEl+#zOh&`RMpP2#L%$Wb$f1D|xCxf=Ag0lawGt3})7T$IeCQbpVuBkE&A5{O zsb#U)%_yAuyFgtzqz)8@!$Nr)Yf4c`acGF11F8)i7biLtR!orCRIdJF2GoD3-9QXZ zmvPeR%+04U70?(>XGmG7tpkE4k#Eg3Mz>t7^2(s6taAb@8=LsEVikVnBa!&+RlKJ> z-C6B@3OK^4-l$TL00+MK&OvWqz4qGvzUI>*u6Z_>tQ5ujt54kHyLiXFnc~Y|{_?VT zvUq$Y4c0Gz`P<*_C2abE2Sn4ny&4#E)7U3BHSlarZ{{4s;@I0e;1Dt=Z0UuvY_J`I zS)y>H6Q`WQ_t4|loS`CcMBy~;>E3@&$4nxfFD)PJ@4fKCkN#+%e(kR`Ak}3WD8TGUr@pky$M(+Loz1QACUQ6gX0)^AP&2#2IH_u>O zn;*`H&V12y@4rTMHeG5rD5xdwacv$oKGWpRV_# z26}H+GQQ&)aHz!cT+uf`oN0J<<P!^s=@d2gq2H1`8Wx-H(l#`AwFhM5q+k;|Kg_xMiiBBhIEj_IMNFIYO6elZ;w#V{34NMkV@G&T3G=CGwtbZ8i@ zEx!!mSHW0pnk;c3j)_ET=}uhCi7TIxS2)hE5Uxq*Sv*T>oq)pZGH5RJWoJ^0+;Ub^X3>pO{$#{Nz!n?o~`ZBxqCbQhjg|WvC zl~h%z%(OM}bZ8&Ca&@^9s#)II(@_e_;HM_bY4kAIAb?VY)taKfXjiH7+<_`m>dewF z%)l0<_*zy}v1+G#|=fDRym6V&@p%Fv@I=0O3T6q8Y3@fGujN(p;NVt$%1!d z#oM_d*gIAw0HOY0WF=}qJECP}IZZMiEy@Ok^p2_QXK7E@ zg?He6=FCVEPDIJTX-GZa^^{~23Z7zsOBuBo$vAmz)yDJblp%W7n6f>F+-jgS$%#o9 z83j&_ty<_QVO~T&ra_Y0!C?l6gGDY0)()q%aMr+aIkd4OAT&t_wD6oee2jEQwmKJ! zpLac_IbFT=~MK^ znCNx&6vK&bx+$Ff9droZc}8Tf z)8X)VXGLqdZ1*GBflK>P=WoZi$g3!$L9@=3jy-DW-ZRn3&EoLWfDuAT&+4TRKOp3^ z`3Q8vZi5Yob{kFHa(R;liVN<=jyDDsn58K{YA%3I-RKe~vpu#WK8#0IqXmLPNgue-r^=~551pa~RiXw4kVT+ z6PhRIVNnbRge`c#m8B)k6=0|9DuC67I%uLk#F-hBD?B}hO5>QSHyJq1fhjL1Xi;C9 z7t~b)uV7@DUSM^e5g#aL9cNwUIK0W+wlQufQ;dhDHDO=T@YSQHotcdLk55qKX~{x7 z_F(37>{EV2X9lC$(bQyGww`A!TS!?f2kZoXR^7;Wp*q+cHzI0^@riI{4Xy zY!!Bk9Mb!Am7M@#TE93~!YjE2@njAKX9QXMtef{hOLEVEgftUk{KOAodWTkuP_H&T7CQU47&s(~IgzE8x~%EW{furzIJoW zJJ+5(y%}+-mk~S0wIp}mp9~2LEcmhaCG|y2T$cd#NA>w_4o_lLxN!&>dVfey3ny1~ zF2cbtyhA$K^LRZZl+tZdWr&LSq;|(yh#PN&z5v3!T(|iO8bWP~qt_&80`<%^W4k+} zf#(U)#I~>)ZX9YqrOI>H&gU3xGpe4~wlUsBapo|@8QbTI?*=J=xD}{ROz9cS1R9P; zG)+Bs7L(j%NyZWPbjHKn9XG6$wKkn=CoRpgh8KZb-ub1!BrO6t*qz~9H?I($B66O> zxf?|Gp%+KG4e<<|2V6uND%tBRH+nVR(+iG7CL!$(C^YxJk&nH=Pk>8Tfde#Qxfg-k zB1kFfn+EV9q{Fy|z28N3lqt&@0y*xE#SrL(D+N%oY6y7F@Dim1k1bK*Tlv?3B=9Cw zOw=VjIcb;?osqRM4$SlFr%h~{6%N)mnKLxXx5`D-ctzeT2O^*x&!7+e-Py8z20je0?Kk zxxA;KxyPBp`?pRBeU1;ry!Y?jF^cKB$0uw4#rtpGeEH@5{r2X~n~&VSW1fEEPDpiK zr`O=6mtr;EYX{~YznkXf6Vjl)88k3a1I2XdqdC$*xxG~luB-9e%fGjOXSu(;bLYl` zZ++{DANs~CclP&|KlI8Ez4AXTKOO!>s@ebW-{C8?pC{M7Z~f7Y8z25VH*VZ~b#HI* zDjzldn7Q+#|CQM{Pib@Rkw+dGnf)jJ%ysoc0~%WzJn~4VfqCK^PkiH-mUot)Mv0(7 z-2K~oWE3^HabIU4&uU=yuF^oecf-dRemhuwiDs=cBQ3zBOPEHS7g)M|8V@=jHXMJ$p*OL)FLMn*TkZ z0X;G9^2OcpUFGTb9edi_TnGDm-9F=YLIc|?jo-KsDR~MQ9xR?mZT#_SRp!A#*3#k{ z?AFWeNhFb0zb(KV)*s^ zLFfk8TM1@vCDojfdrp^-OOJJ3p1t2iS0(7HHSY=Xohtk+%&E?VDN4k$&bvWY*bO%j zA*gdwq`DADr9HMebC4D$O|LhhmE9A58JT6dMy|wXhsunUBcdGcZ1N_X>WeKxGPN;G zZX!6gkirC0CcU2Yp;(b-)@@9tE+A1O%-66y+Ja^d=3vL(!o!{*4Z!pxvv|(%)*4j4 zDkUBnX)6f%5%Y<3CNKS604>$#D8EGxKQec4PKh@gJ-!a9r&ywNXrDFlFkZB1RbtT{ zyIu8$79ojWRY!>KcFr{Yip8%&n*k5=)cVpSD&g#(BXct2 zJUI1yx zHV{by%!3=8RLNI0?l6)#6`>QGxrFZ<{hBB|Nkv2U;O5C#D=hY#s@6oOg;46%R>_0QP=fBznZPhxS-}RyU!YN%Y0`Y=s zW;s&_Ok!W}X+2Bl>o7&i?h%^8Y&Ftl1g{Or+2JK2drr#oaIic`nskS}D%bb9!(uG^ z!6CWZ!M2U+`tUZITVvpcte5pru*&TRdheJ%;90pj56v*;Re3enXqX<2okZ*732zcA z+LHpU6l6cTr1tgr@Ry5R@NMC0bfi9622Q28BF!#|G=ibxSENMEpjKeC*?45F=<5J) z8Ni~RqT+`hQ=rYYp~CjwO!T4N7Vo}l8);xSffP^6qF<#9fk!qFo7^G%JLfPDg}8g; zOS}1Q{>J^k+EbQaS$?GtzwQ2A6He{zkb8G|@aH=8l^SSdc@yxJ{jc0OJw5${i<8Q< z-lm>I1O^v6$u{p*acQtCk99hz=N@pMS(6_=^qd*A$(p@CA!~I0^|6hcWX-~Ve2Lcf z&9oiyoPF-m@9(Vgn`@?r59wR9zYg>%UgJxzgL}7jS7*;;evK6!(;VFWVlQekJGM5r38pwN7aB%QofBQtN zpM3c@FBZ1XvW^+p4W1S&PA$H4QNzFfMh$kF(f*-%$@p z!>${T>qwouP+uMETktUa{Eps0Uc{Q=iqI;3n$Jc$!b9bkCIii$Ar}IJSrmh~*sKfVEn;h)`89oDe%yqfkYEV~5!tf>#=PsBDC_#$vTu)-I-+b+}nJ!$UKrmWzZHoZuOq3Ua)JCiEoerc3rzXuaY!Lh4gwF+Gs$QvXf&}XyU z*G-V&W2y!tC1&0C#faCc2wJ+#YTispU=60UDq{nvq@B=5_CI4UhSp7-u&x1J$z3?_H3YsphmURCYL_YC2)uopuUjON=7k8jaCvHsGZ<>AL3Mo0tJI|M!>0D0&~-rh1mN}dS*{K2#5jAd_<=<&q-(~- zzB~ChhL8VFl6thTs)o$uhpnh@3hJ4~z)xEgK$0N3y)d=^JVYGXbJOsi1mn~g^OP@N z4HhU?b0ouMZD4v6j;CpjZ@eX~4^<5&GzA`@Ts5}qHVgCyZ($dHPQCbJH1PcKS#Z3E z0S#_v!5d$9nDi{Ai}lMvL&hyZH;tIvaoh0y5VE)4>FM=mq2A%$ z{{r{s?c4k(+&fG2pndx3HP82c=&Z4^+`qG@{|zg>FWk=EDAc`suLkRtS3U2j` zJ0Jh}_5XY3N+=ri{~PwAJ!yxQ19*E+yBL1v|kg6nz%+CTvLG^c}J8q;7#0+ z^Yt`1FEZf^s#!LL5c-Fo@u(?%270f(1=2@b1nxxhi&6s1_2^3}|RhJIE@-qB;h0;Y7mQw4?xN$)&bQ=Ova0{4a zhhMgZC(6b=E;FQQYg<_&14n}VZMZ94p8};}0Ajf#I<5|FVj>c-3AJVrNC3arSd09|BW7apNS|&gi>4w?(8kqQ&n{eb$OzXYpm0F+ix^89or!KWVHg8SF ze-+X_=+{+6QiY1S_ zYCgNbH`b?mpNucxhhEwwL{`AY>AVG>N;$pjYm#XgAQ6!9T4hZ$#U`kOAM1=1MHpa( zJOf%tPqUWxPt1@-S~ZkIXra&BPv;A)^cmHW_KBP`GskP#Y1&$HZ&ASV5%!iOjXTMj z&`-n+hUQdUDg>Vb2Kit%plN99yyL4>z~O^ifqt~7O@TODutgjUN~O# z2N2Cg^OXvxLz8-E+nAzrP4LC#`NZ?M81kL5ZP3RLk57)PSdQFJyW^98`@4z=M^n*mfO`yl~Pqee{TT+37HQxKT73kw; zjqmAoN575FwawY5==~L4$u>avGJM5{8SnCR8FsT@25#QJ`->f)UGslr&zJ|?pR!UO z48HJ%k9_2SUC1>(hV(_7z053hzR9!V41r#Q)1bje(BSU! z_3*!NMec_VS(Oj1fk6Y(YRcd5mN$20jorI3yEDE{)+^)(m-&G+{BhSZPq637>^jo-yaPlHr$4DPb$Ot6t-E`?5`zw1UGPNhF` z<1yc2b7$tSnJ@C_|Cx8a>$e`ONjWe#3>(Yom>D<#x_5_%l~WcKz-npe<|C?ukh^^ zbjW{fGV{y-b@S{+oSezuJH6XgQc)B!zEbF-WY@$u?&1V9e`F4scUeA|fWe}{+-vsd zyfwyW-T*VY<{FZ95Dp@~m9Jl>7+1*^W{mh(gSEzrigH!@ha4*a#q$|RLj#c86p0-l#$0IEh#1P$ zjc?=f9L}tmrk1Y>p@4p}Xta^etObe#BmYvcP^CR9B&~BtHs?*&p|J@mrS-g5WYrMl zdYpKNTGR5FPf}^FlrXo_Bp|`KlP{3lUjY%EsodC~dZerbS1H!;6Hvxs2*_cemaQxZ z#)l7~Rlyulho+Wl(uE1#r?ujYuqs85IOF#T$N|PsrcHVyjiZE8C9)2u3_7WLy)N+H zOm#WqKtw3}fPA+Z*$OKWwSd^E3PWaErbywUf}`~)EI2uhDsVB%tq)R%92qyxnyjuS zp%<`&?C1kPE$5*?=U^&zh1h2(MuiA;p&!`65H$iMrnSa56cyg4@z&5K$7$0t!w%=P z*?UW%=~_b@Tm14?Xvo@`+LLS)ZYceGs8AQo;M0VAf;tH&4njr1#!J*@Mx)P4Gjyd! zOk=VBE0iud%i1P3;a|@ht1?_TGH#EUHENuLoEEkxlq&pG4lkZY4!Cg6qa~QmSpqA= z3WUip`c$2Wi|NFB4ni}X!6MG2Cx-)T`7fFzohNmYrpJ_=)(lH%hFSdF^3UUH&SR%( z6Hb{p`mUz+2`?fG(Kd&vO43qiL^C~3PFe@%N>KUu@2k_S@iB@g zf@3$_)BF?dLtbJ(8k8rpj>qF_5EPIa{JSOJ7_Sal6e;aF_9H%BG~r*4>YLPE=W{Rs z(G9_i9W?T#y?=bTkeLUAytQp352MxBvcATCU#P0=V1%V$jS6=q)ICSSE<6X$lQf}LxeYTj_t2D~QQSg(N_A&{J$503?nklt2fwVYL?_lM}9_rSBre6S|uZE{P z%lFZh1^m1c)tyB=#qWjaKh9QbOS!}2J=LhDFr0^qs>WlnW2eO``wo_vd;8-bXWqX2#JTj@ezyO$uPv8PtOS1FS211Ka@}RG{!`?w7%vEHXz&KFGT)H~ zvNrFqlRN*T-HD{BHMS#u-&e6DF|u}PaBulbzqDJ%^|3lQXr^U){ge-z;xm*>Z6W>~ zyZsY)mY?|jpZ)!x-A<2f|A$}u>es%ye{=t4q{V&L?HX7vf9LC8eFZ^(ye;9Pc+lYc z&G(BwTINeW8F1VFE&I35^Y7Xu-amN@CS|g=<>h)!xx5s@m>G!-~HOJ zo&NLFe=fe|!QL?V7Z~AdS?kdLKIg=5Jo@@@&kR4X{{cQcE2W| z5EW_nLiwM(Pyr4ImOE0Zf+Ro;+JEk|ud7Q>E-A`ef ztr#;)kf{k|uMj?98@W9=0#?r31GX2Vn*mUN*7P$8dCM1+!QFHoy5Damx<=SCd0w-7 zL%ge#Cis!&*DTixY0MSK@52GnIck!GnfMf+vvEeYwI)yEWiG{~u|$wXZ{=QuUoj{U z1vSi8!!*E~^e9g}qC7-T*Ekdx-2_&vx-Ts=+A+CVG|0HLF$Vt-nq}Icc>z(NYsOft zB%sbaIot1p(5Om=%2ulp)_R4Muahq=_4742O{lXewc-_?3>v0Uqe#&P5fEoIA-Hx_ zVLKrO>!OxHJcZ^lh&CCo)DqmP;F(a@fc7e0@-J9I37cyjuZ}u(KtV<4uym(~RH~?p z^PvA<1L)YQeuC(R;JO@L^sE<>I&gKjrpLM-Jt1iqGv>v79!-@RcWZ-HZ`EigW-0>4g)%#*4KRBnvH_qu#Zm+fZQY%=v)9Y{<4tLI1Lxg^|zd9?-o~5mTuW zv`RFiuZTJ@4fFb$jrm8OYq>L3)pqIlikGRLi^Vj4KjX`~b$(&6SD6@7l zPn&uc1{^SHix^CZwx!&OBPu|tHtdOyoU8L`WyjS0eBOlp>6Y)pO)(!H0)q0}d_MI< z?|t7_Cn}ofxQSeDe+Us4E=?UPoX316Q2!Sjx>pMs<0<^Q9U}Jy?sjvF%~9lunUo4E zQ_uel_nAi~hZ^Pq6YzTXn18^7uBs*i5@%&j`0xdRMz zgn)nio5*&PHI^qOHgOAak(g=gw3^6Q;8L5HqSuc0;v3t?FeK4Zo-zz(nNmRNt8!;` zi)?7Vvye)G<7NRijHG-xU_iyRZEF*gx)v&hN3~;f?DPdeXO6A23r9mhR8CqxXr%B8 z2yVnyXa(kHVv2Z2BgFGe8Wydm574q63cX;APa}wpw?@S4C&RR&xL(g6=Lymtx`h@B zb{&G=pk6z!~qw?I~vInOX(2wmKvTz`iN3+mLW?By=jo`9ia33IR4WeKKL7W z(7n|!jtxo`;y6<741nk}I)e|9(|fP3k@wipir`#-HQ`lj6a59&WyC)94PG+=Apd@gLd{q(PVwW8k&mDXLQm|04;`nCX#}0<; z7rP-v+Xa?zHbDM)xC@-e#vRX}tfFbv-eN6+(o2K89}DXe!FIa)vAZ8>Nv!>CEVZD) zXP2Mt#X%A!MtgguC$9aAG%%HY%sd7kmhO$muz>5qBjLMi!SA{gcLV#|EMe9Sd5N3@7m^FpBi7QMd+Dl*zvu0`l^tzF8h<%XTLpm@XRxN z=H~Ji7ViE({D;5s8>;Wm9jLE_dR)F3ZUV&w;ao)w?sWT+QNz$P&)j1j`42)*)ONb$ z#q{SjxVe9e{0|P&^bPj8H#IQkS{h`AF)Tv#@4dQtFZ3F)AAQ=f;eGPkKa%g?*njZJ zPyWb{G%x7j#`?7SSe`f=-GKFDVzZR)03j_EGY#lLkLL=y+=$&wG&0abimk2!#tAjbh&^E6E=PPJl2rb z4GsfA3bOCRH8l-oQ(6HbA44J9JK!Q#J{BuOE+kon#?`3{)zu=X9yI}<6E@+31MY2@ zoo@*rYgU|;Z99QShzIGrA3@7S7A}e()*-%;u+PGY?{bK#vcRNv+y>Q={sonV;EX2d z*%W(F&_?!{MoSu0ea>3VSs`iQT{(6Y>H{Us$7WqZ`9c*lIc}hZ8pfw950%#$;J*lw zVP5e5w9(;J`d6Wh^iecyVoif(5MfKhQOwhMcRrgEYPS(xoA6UbHC$6A&bUj|Ye1sY z6;QTlm|OG=ysD8I=ji2YTek_dqqr~;;MaZ7fsMXC_&-z-KJ|5dq+J>SMNlmSdnew< zDz8QavGyR`2G}kv(Kfa&p{m2;oo%EZ-!(u-U#s5Jpm$Xn&>cPeL-)mDRr#u#Hyp4& ztf(5#`Ic%p@DzAanL$sV4ZK^^-2{4sO5%Y*MoNY&PgoLrj<5^`vasG*dxl==9LxfC z{+AA$j8(g^#3$4=IWIhsv-II2JwqoaIwRm?M-2UdT2$Qy36!U&PsFFbffk!|>IV&s z&KXpRKB^FMa606x#!;pA^phlM7Ueb_2A~b?MS!hmeW;_&RuXzTEC3tJPCjO5%z@Y< zVDRf~VyxmJO~^Qu<}$hww851_2WsSRNFde<6@ae0(R&xdd0KiA>Zw{_8KWX`D@xf^ zxPk5zKS!@c58ykWw(mlj{E~JE;G@?yNFRyx#ljnZsJh{OlEq-LsJ!?CEY%8;1tQ*krg!7Vfxio%j<5)HbOD z-9>sP`e>7`O7FTIe#4>)FbYUXNL-sB%XJ!P!5f&QayRITeCTPp^7Vh3w8P!UxBcC8 zxVjV=$WcN}xRP`wz2pCn)BC%B|FUxbt1n$eH|8pitLV@Dzu``l8t?mhr_9RiY=8D2 zTtzqL_IrQE#f0fvLXY_ufA5j2=-dPRG(uTF!*96~F1@s*xacb=L&)m(0&H+YfIc3& z`Fd-ir$ziKwhnBJOA+ZeBnDxOt^!R$wtyLIz)H~$d{i6N^oy_~Wk(6#I<+V60?v@w zcA<+s-;w06v+Iy6T2B#Itk1;Z7#)mr~!86D{R56?HJJo&Zs%2ge=#T zCxPM-gxLvNA6$bHu%7CZ{y9?4GG!Emaxj-~m~1z>XGW->X2`LPrC%0wh=MZ>{YL+* zUW2^C0mQ7gNXxKQ5F$9BA7rA`lRAK3P%ASf+M=Ie=a>zJK~o<~(;!BWX;W1tCDyigMTZ%yP+yQ-6% z-4JH_mC|;tufw=oAf0ESokN|_>PW|q(f%))SOYzFu#qChQY%sdbyh zGw7pSJ+w3zc@}MW(M~$`(4sK^N{U%B_UF1!_2divF*&rxJMX>GuLnOeHE}l4_(AI- zhI-5zZ&qo0TAyOKZ#U4KW>9i|m0Uq^S;q=-IuLOS8dzXnr^Wo3Ud`*PKH%hpkd1!E z2u@N(`n51eEOy60AhrpKY0T6a8X;+e&8&Ai2b8WE!<|q5jUCEeu`AqG~2mJE1V!rDdos4GD*-zWCvNsKlJ8U zvU3(D@RH5GeaHe-;G`1bv0-(YtAUYDEyvHR8P*`PAL{^ws2vcmJP!>ad6ei3U4U3r zf}qWmD)&{ucO}laDK7wa_ne2OOnmMY3{t=X1V`ZUdzcON)G7+43iwWgkjRJ;eDM1e zSNUzyB+fW0Pt6g=of)-DJWrjIUvM)L=wQ(lrkWW}4AN6nz?B9q5g~N@%70jRcD+}p z&A6wP4xdi2+QSQRp@l!|2lh2(2j@!QX38L@cb50Q@s0oE%b%xR&%&LL#r?jgwCi?n zP5Zodz}OAb4#Pe6*dpltPVF#->ood3?J9rYeB&EGv44J6shqUq_!PN)q1)G!tX>11 zOFgSWc>h$nb8YU8T%|!zuNaigwEPEs{JZBb|Kbx*oX_o_{WD`;rdB^kO}xz8vU-QO z2W{)Aj2le@CcIY*R;{KM*-X)=-0eTHQ-iY;IUu(zG z|2FULJ`%oit$j!tf^k2xA(VKWhk>ODZ?m3Zef8BF`qp^Zq`iCLp7*()sHR&3>(%SX zrJ?0{4OH)MSpzECtuo#NR*{Df*vnbZ)xidPx)Bb+o%`Y2OxKNHropzXLlbN>Tv29R z@zAGUlGHC+ADM_75XzAm8{1R1N-&fPxjG#JT-DO6szA1tDE&q7JfU>OpC_!}4(PHq zP-*11r+Q zVWD>4gfdgTCIewDmkv#FY>E(F=CGI+QI}}q_Ew4Zs05jD!S5X%Hf5d|EsY+DpXl<) zZum`&7pajWXp$LIu`F2jK43f?3h_bNjQLY3tp=eR2J)tJ(pyA>4eVw(qS zrwTJgD4wpaQiC66O|3~h6#W3gmb`3DwQ+(p1;z2XK{cgqS>us~8&`UIACxgCYq24| z%Ly;^Iyyr$)w8*9{D+}6I7(=0m*FZ;GSRn=LeL0_PPNL(6{7*SDhx60a&6wrp~fQD zIXB4l=g>k3tLqHVC_uau2>TGdwu7O^I!!c5rzUA+_yO2&^QLwtZB_L^YcgtxD`q?9 zJ~iB}I4K`aGJ!J-Pu&)rbFr2PUI~8at%qx2{7_vEBZ}^Y@mmUOd~g-uC)e;M9<9{y z$sg+1MjU8y$_qRzHz2NXh9q>P1~MHE1;7ZA$`5g(Y=aMu%yByOI=oS_>FvlqY>{Q~ zICycD7KpwEnKUAVyco1{*oK-vWFe)7aY{U+y5&2?({_%HmJDWXV? zJS~|C+Tcb%biW?a;dgl)^T=rfle#(9do2}?(?!PPAUjT4=ZMeK);M<3s%$Eo<|}|#MZH)0V?3Hco#3$5%hs7c93O?$w1SH0ORV+q@5tx@ zM2AOV5yf$bx|Ie#rZD&lo_`Jm`i4Wt3$2eo3Q4f$4H*&-rNVcTRO6iZbVM}e#6-$= zxVQX!!%h@b(#X-D1PC_Am4Mjgw22L)hkPyB)cE=aKJm;@G<=hSQcpNe4S@tFN$3l8 z(h!UQCETvTM}RmV7Wy-{{hPMu{c|>XwC`8e{CVqrGsU|fLJ?-`r()}Ttg`(lAJ@^l zaGf^KD!%JPZuR2eK`%edjg+9GR+*x<5s_mUr;EfBcU_zTquKts96@U#N=bO~rY& zJ#3=Cu#xM*kLiCS7eDi^Y^YuJ+fj0G|I2V2J%_EV?^gvYJNlu@`m?(m z2`&mQmu`Z;xdGGY+`5)Ik^{0P2p4#o>SNP|~3H~Q}dnQe{ zW^%AR_*#z-`J;g>U7e-27foo8r{0_f-Eiryq1^RYqX}_wnycs+r+m98daV4(f`6#&H3|JrLDvm- zim#Y|?j&3riKH-xxo*PtYyv%WRu7)Dr0rM(Lf2aaM|#)KdETO|camSP2G#>aeCPRW z>NPds+q2ZSFV?SxynPbik@QXtyi)`3)WAD6@J7Tq!{?-ffRwS?j{=EZ-Z(0?%N*Na~tg#)2trbGL zA{2NoP}>d@d2NU}TpQ>L^%uboTptL&Wdq-y!Tjlpe5ZBaIe2|nt7zWk*8AI;pU0=$ zENA22_4&}*>@SbCfqYIy?(yvn^IdXc>PhRz&kbY=4yav zgjdSme{)iHrMgJsS$udjIhFt<0{`uUizM#A&%0cE|Ls%aB8i)LOLntx>1zXuwc$ra z;ah6O5sFy7!6@EnAB$?cutMP-gn*I621>)+OnF5qoUtUXuW`g51mezk1y|;LdB>pkT zxe(wIhuaGR0)&lo!gIJ}ZBM`Fd7WH5;{Xnn1EPL$5hAY%QxSA`IYD0ba3Ls{7@!Y1 zfq&Yu43jYv+zZpB6ne$U=UX*B@4WkiE9ac^0eNP+>(^6HJ@r&|bv^Z~ja3L&q;q6^ zL{Lx{tTuWGP3P6Domvm;+4mUyB1TCZ1Zo3{i+=32^rfX3^bw<4N>N6ftmeZkP8n3v zIUg#@^c^%e6od904EYL3C$|j)(Mx?uVy^Fw)y-N|dE&z+Q5d)DaG3x;r{Ux?)uB)7 zV$XD-bAlUqvL_ za&jl$!Lr1|&{1|sE61<0AuF+k=!rEK!lo{IdB z#wmXsmaq+AkB=|w9x0c=AvIyfD3;C`XMKEM_40Q`70&R@y1;QbM^9{w`9>4re3+J9 zTp992yS8|6>^A5BLWJGJ5X&ld2xS=(FSAKi9^U75+p!pOx`M zCG3<+Pr*=(eNT3rl8mC9q!q<%n#qh4j2Sv{y(wpNzQHVn0IIWkf(DrNxlK5(#Ps9r zFioB4q(k7^oXPByY}UlJ)giXmPI2Qsmx2W~7iZgtr}fAfEiVsC%+)F@j>7Tg8uG22 zP5d;p+dOCd@GzFFMW9duIPN*2;QK(z2Jdk54#P&eK3J=|(SZs)4-}NK#kianq$pxa zP|tE4ijctZ)mYb(94;}_ak+3lR4U1p&%hd%!B?QAJU&{8&&R#=ScEj=X+Zi4fp#uX zvydG%b6zJ@!T3$Q+K7?FHHt<>3-RElD3hYaCBcxSj^CWk3cJ9$n9*iiEJ`IyxlBrF zhDxAq0NRW~f#FebQeinhDrqV@PW6YZATid0Fi$vkp(pNyPdr;r8(%P~k_8sPna^$K z60kEUa_3ZXN-yO!ldeef1tDs+jZ2GQVg-|h_k3E56N6KW+e<*O$MJ~Ry@z3sgpqkA z2HAL~tsVG#GLnLsc3lc4RJl|ulzkl~p=e%%M&ohg8=TX_(mIoSN+_TYF|Ex2{c>v^JapQkM^$xRM zn;~_DM;L6uT*owRr5KIkU~*rl5Z%EM@Yghg@$BDt=CUlSpEsKo;mt*_VW{u5Z;+^2X>;O-n8 zo5;aUBM!d$RcpVlIO6aVQXRh!w+9sy|D8Fdp{yjjyq?}yXMhH&ED?r{=R%)U43uGAp?8A&(!z{ z2Ny2fjf0PTB+@bFkvG`+*6+M_=FIN?&Q8qB5VpNE|KW$t7ccBw*x`(Top?ro<;!5! zn5Qq^Fdrty(`H}pPA1Qu%;{8zNbwAM2>1K zFF75JeJ5BTzRQFae*;!GSk|V61-e(^Ct9cJ#Z>UN{I&r%Z()2|xQ$>jLaX1g-7)bH z633P;`|5Z^?roD(JmZ!CT>(59wmKDhp+l_l_0e8X5_za+p-@pgbV|DHs0&HSD!C1-SddjzgBwDk7zq6;fMr;ASm!f|w^ z_?WcLH$D_n&S$hin+dn1t3})@OEAHu22j*x5WT{o7I>M_Wrqop1&eLK#8?+nc^Il^ zCz*O-1W?h@p=~iLTBhJkRzr^9 zw!`6^%?w$z6#l3a%~0b~OP1sfLqV4v6_d_Df6_93kkp;8p(C(-rFNc%yc5?~!BZS% z6Lb%i+Sp~(8*W*xuQw}-U{sIT{ELj_Wsp+UQB%^oP01hBdv6#~NlB>i!cg;KX1VE+ z$jn0jz}O~1uok6fN~t&0;!f159^|t!RezM!DiIYRedbZ4Pu_dTP?P5*+dI1Hc43>e z1E(>aPUH6-3PdaQOqG`>B267cRrW{@rMBbw<}sk?5RTc;U);7&nmR8I>YHL1>;>;wYZ(0BMDXB{;es5~*>A96v^>cZVuLxGegk z(ur*-th=+=s6ESBqf$=^U()yxyJutu9>;xY6IW`#d6}f>6~I!rC_X553~?ajep-xE z(>495n`BLzQ{*b3iBE%MEfK_j;io}j$T08ZX^fM>o8Id~P2MwzX}niVik9qIE;Khv zfUi1ug$!+|G1dV1WN0xSEbxsbg_N@i`JT8-?V`_%#VDQ3wc8vD9uC5{lRLF1oJ7jm zafix_cgSaImR=(^Q$Hhk&s?lsl<+e!LQe!M<3qpMa{&JSjM@gP8VQZRDC7Yyk zd5=v^Gi?KOj8)Yjc4C|{#x)~qY+~k_l~!LKPP?eRyT+u>n7(7LI{=Yl*3*XgcC!hD!v2@T3fT zJ!1246sp&$H;BM%FrbkBKQ<=B47_-%G=~Eo5W^qN2%rj%=|E0rJhl_k3l$P}kKlnK z&1~)q>D`oZjtDBr!_?!EbC6n6p4RvHQ0Y>IK$k{_RC&}WtD+uNsWW`7ek}@^2x5jp z>AaiNsVj4$E;-9Uk@}<~afB7xEG!mV8^j+xagiVVDG2#5XE4-?d|$+2nIf@_Rs=Rx z=|LPKGE{?T0G$ELmpguK3Ak}X)L-6--}NoO@nf&ZeLJN8 z>$OMBxpU{kWv+8}!P&EBXMbmZwfsTsg~#4`jJK_`|J={L{kD9|ANxk2eEqE(D?ZR2 zH~^2G-jRdObjJbj131{bS~t2lEnv;TuGu~I-}K)M=?=Yf9NQf1?#5pHz=5gHUE1GY zt)6;nbqN9RZS%NOe{bi~&TD6{UcG&M;p)#lQJ>v?yU!nZuRC}Cx{+Ne?C$D#fS2>D zSNHcvqu0;v@4t5Twat!s=}OX8UVi!N`4>O?;%B9`z5e<`51|hpzy8qc5ACDh1`ZIx zX%6c8_VERAFzS!Z#qK(#dE>n7f`Nnc=dWI^>(|eXMz5WvTs9EZlsvzB{_*tj^r?%x zyZ`*3pF8*DlRM_zL+2hU|3mv3^F&^hf5+})ZZzRRv(2*y#^0h5$qhR8S)TlK&d}7a z8uMNAp+5O#QYoKSOeKd_9ny{FMrVE+o%sp#l}-3~4`olXBZ{bPkZ_uTobGU0`jJWgQu?cKLmm!5iRPfrZlQx0@S z0HRSk; zkIAPDF1g*>HiX5HA$${9ggDN0#s|OCNd_&>?dkQY%Yf_T zE-boH#6Iw?NgTl>sE!QKVb7SwND%^W)U+*U@?L`@D!orWid|~y=?3QVt>r}33});S zkV{Pgt8ZVa?2#J1l1%-S%C5Px69#Y$(5p5m2!67$C0oQ8~2X5iWXQdqry?A z!tDv;2x@g3IRqYd0v`IKFT7~0^V7pcq~HkG=gczs`@j?WWfQ{Ds4(f)(cyw#!8})K z4T_|o%QDlsKAT0F@~TdBqxmS64`9PRBBf$QT&oCk`33jHsE1qi+#)ueZ%I$Gi1@)G zIi@BNzsf}`6n=80neyC%*2A;>`*2qt5KPuL~(T zgGC8Z!Rnr5dh9eN)?zAa3L%M6$CMOZU&12bTQR<3y1b(!tFzNuFk%~!P3AV@Wrb!b zJ7OUNE;{v&0g$q*BHbBvD-M%X4eJ~VzN+2VN2cv*up7H zkRunFYph=4qNTK;535FfLQ!Nr z8R^M{yL))E4zCsdK@<|2r`y6u!+zMZ&b+H6*EBsCh z*^)e*U{DgbQ?RGP$wMLzD1yXPNittnsAN-@qMq{ME&{f~M@h0+#`s&|+Za$-EUS>h z7RE^mP?#_0r^6lP#3dl%DZ_s!Zh5-x@RaZqcdzg}G1A*G+=+WRg~ILOo^q575a)94 zH8?T2E96aq-5$PiS4dm%0T}nApm4tYm6I+Xi}Us9^q0VL##_=&I4CikN(9F>aTUHr z;Vu{qn&?|&F$KbHNa2J6;Ul-C4S)laSW1X5f#vDuOJQkm@=dsD*5TbIJnK>6%?DvH zAUM7=+-)dmz>u_olP`na{Yzl?3;*+=5IOn(=ly=)@w#95zYbptyWh9O?ib!u^fnZ~ z#gw*@8NEewYJg*6oqptKrc^@bt$7_W4=E$2(=&g(3z49zQVVd*-$7hIh_ zTVk?|XD9w_k6V4*4d^Ad0d<8vFVPww&&4}b^Bkc&=5XH$kY0n<{|R@{USc0k8c+q5 zQQ(rdbAk&{3Ie`w0<7Qn;8qOOEg8yvG! zWkL)CCGINW(JTZ9JmHE#-pR0pO^(E>La)JNE=iN68G#;zi5ccl=S-VhYjibjL5-ZF4bj%^nL8)T4R>tM^&(Juth9cS9Y zTJLxgEDXYnhRlO$9J>Wq zkNW+XY%H3bRV*C>EKtB5O`X1~ z6d;@9)uR_W298nurzdQ=dSIGDGy9PURu2_+@hyb-J02gc(|cpdelZ{ z_o}{^%G)G8d7sUjBsCpVIu;CJ8QUir^|G0%%BX4RjRmLH1g}cbc$Gdkujk6BFPPae zR!_;{Jz3hGd>WQldf{u1F9(Vi4PFZtEsVF^nVIpL<%6Q90)rJO z6g2*B>H7-4C?`C<-Ye4?Q@75O@xYGrerBvI{c>9RvhvluBLBv7iKj6)d`d{yUKttB z#cbg<|0}mwCapiBUGg(uYm(U;8dyrsb9S`mrI%EejtbH9jc=`~(JY>WsU;F*aEn4h zq*U|e;i5zDO-2iALd}Orcoa|4yWrDsR9wVA zp(v38$YIvQ5B@UDWX zbTs0*Neh;ymX%A>qC@eqU>q?@!ctLIj?NvKm@Vc+?Mk)}r1SpBq~NI$$i@e-1EfB0 z#2iAOQf#AkNw_B!Z@fLA3VRsm#o;ZU0RBkHmk5oEyp&z%Q;Jw6Uid?brAsq>7P&Q+ za4nW4)XW-gJQ38I+AQo5?*^aY!yol^W_dd<8{hLBnKcxYpzA$}_kB(OM`gI?x@Nab z<-^=)^cm^thSd@X!lM$uWC$?!(ASgt=7&y<~y3vg4 zU`)p^S<ZktMKa1`Qzkm76{QvIqzj|TC(ZVl$4 z+&Qh~)v7|R*?r`VN8Vt*arMkIJIt2u?Oa)%`K5=yw7RmhH-7dbFQl(B%eDH_my~^# z#)TJNdu@0Bm{q-JU%T+aYV{g-t=|kB-1=>E;lh{MKQ)_)gTM8+Sox65W|uGjnh6}d z`)fuV{O!NpG+N6$8ZooEf8oNT0P@>;<{5*;;lPLkbBcpAXVwJ(2bvr1U%PNY92jPb zFT8N&%HH0=)>f-2EqKXVZL#gXS{rRUh;`2R(o-7IMxQuGcvb!EEo0grsn9h z>RI#R0^w^8E@JDh+1~zEb3=A!+lZ-|Lb(*{>tC07%#7kg53Tlo_WhrY^N*ooa%4K# zPdstcoPX>4e=0n|9!pZ0%|og?JKG$@GtQ5Xg}=KSXV7Dem-F-I@5O;JYYwO_4?T3# zxIc1#6k=_0Aouz6tMidsyuWw-z12;9b0k2VJ=EOzty`LR)H>!kM<2s=fBWq?ryhNf zK)^c*A8c=5f182?Lb#sn81nt|-#^8{MRW0zIEXWp0|%Eb4ID5e+r*f|eVEmc?t62v zdwut=9JFQFwqY{kXtG)8!d1}(>xXJ*tF-0yC+KiXFQ&h;{u$y7b{c=c)_Ubzy<8UB zS!BKR9ZY_G5qboqlnE8r3AnYjBNgL1Ucw$aqGS`OW!6N{LQqTRF1jiV8?D^MWps&t zM_;4Eue0jQ%3mYxdL@s!7V_awzfMdIyu^&k4@^LWS`fYQasqlQ3ly<{!Vpt_fdM=Q zDxOe}D^p+utWwnns7+uooC&+M|nfCfi?QBlLbYwmMC?CZg8GdUD20g3k_Qo zV@9Yd=2wcuF~vrW0C}0SMvZZZK)W}YOUzNGT=d@fhOEXK>XbTUSkQ&=QB^X73N`he z>F}ge3dd#9K-BUJ-6Zbp`?JKiVyg3G*B4<-j76OIh*t}lRKaqGdz0|&;8Uw+X0c!u zrVby>1N87)LMxazB-ls>QknzMIrB&b=!s(NoD7)pk(Cd1DRYket8nKdYub#!jGlf* zRc!dE?_}WsQbw2ZG z`()AChC-qXDYCE*4GKWIe*`xp3%ObV+m-M*j2Y2Zb!0Rf(JHYCcxK9xrn^~nSh~@{ zC^54oe)MhU%Y5Xd@EOsl<0s3dA~yvXa#uwkVM%TN>L~)5D#M3p?S^sa2VQOEcB$xnh7u?L&tR5Sd_M zv*th&uSKwzcFH3{3qD2tlKveVKEov4cx0?v3T3kNTAM5IMUi<+w(;i{ps=3S1bNWN z@ujgUhti=pRO)p&hG$R*9DUNMirlC&;=zEXBQdHG-YAH0qT=zD z@BO%fO%<13TRAkjc=B>%RZ1pj+#vITVf+a2W�X8!&=H`Fs8}i2m(R2 z6F^@U+4uSP`NHIRZ+ zk9JNYC@Nlm{PdHrzG#5iJp$Z=Ffgijvsw;@>jafT$hG7^r7Xy z?fyD&{W?A9^@_^4FYcw6_yjfjg|MYjKJe-HKF#*S;64UB_z$=39dhcibN%Ko{PZs? z_|3Ks+KueD#oD;L?wxlG`qXAcWuW)cOXgU5qhe~u?FVsi9S~lCH3#1~a6tzDd;X(m z-ud#EzZ{i`?XPQz_N7ZtJ#h8vJMZNAi_gFKyyCBppM3K5bFZIcRDwmyktDjzSuOd! zghq^lL##{s?${Qv19tbs8CY2T=J)=iugLFb{+EycBN%x3-W;4i|4#l+{$NI0tbAh3_jRJDeM6@8ythjn!BfAN)(>n{ZL<RS{>D## zrcoO@vBz17~%-p=ac>b>jcfd?SH$pK4tFLf`!jEs~wae$cDnTR^D{Gty5AtbJh z4gSL|_;cF|-+@~BtL3le`HgcXKYmALXD*sMIWXdYr{#y@ASU=jaf@ zSE^jWp9*WKpq^H{vGg67Gx7v^sw{J1fX(SF)Ri{v(bnV9^+z@j_9Jt|yGR^3(F5ps z%ssxX@m=r;H_JVQ!J>)>6{_Uw6{7K@~UfHFxZEYk;LtF$Ly)E|0CBuDp(Nh?Y(EZ;l=L3JqkCS*lT}Knl_%CJZo^)dajbYgh@SC<*C^ak= zjw^6V?2H;P%Y&sK^&qJt%@xVh&&<)DHqvWPoItV3CfeDlDq;lD*>c=s1%y zWvqk^#Nd0Ej?ul$(wVlOm+5kYN9CO>rTE|vHLs`tjbFo966$htB$Es>$gwn3C1vtH z;J^bqa>QIY166vCV4g7;LTc&(qZ<;OQkb(3K3OQ72}6ZX8ecO?0OM)xwOn^p+k?t@ z$e4vom&a;SJbp`QigDjy1s*qPvEGwsQt;uD$)x(N{ zm&+q||M}W4eN8Q^74<&gTS*Gc99?vj_RFJ@(F-<+*{8<08R2k|k=N10YAvoI1?xw~ zAseRN5eAE;Db!>-Eti&8RK_H?O(-y~`vUD0I8*Cr9+JAwmrX~^qq$Y=^oTJC75-tP zr_8XDXz9Rj=0)y~Ow!HaLM0!{X?c)@=3qJPrE#k+iTnFOx<=qBOVnO>#GEWeAz6;Y zW5ZlK%uvts45be~mg)s7{4lYUNZr@az+^2icfy(V#$7F!-0u(GLsdX;zJ1WA#!HAXPRET=ysfutS?E3+`Zo5%Y zEK#>d&Q-PReBDtcpfKa%s|!Y9vN>D+sn!e*hVYpUDU_@^qC!#=YSS~YH9a^;*mUk# zx578hm#%SPtn7XqQ2I1R3BwxA%sKY3_DzC-JGboe+L}ZS#Zg0FsdBT7fv#j}88A;$ z#zHFII0jK)f~^XGW4+e)W-Eb>Yjty zfRkj#o6HsmleRj_8_m|xcIG*3QenD`kw~~>%it($S+SE*SRTGeDqHV#(DbGR_Y5oz?sdX_+C9cX}W>oEhjGk9!K zptLKG@Kp0GNEt$<2zn+yc?V1{i+93Yk|8#I3-tvvbsswqu6IPt))fVvX@rC%ov@B_q7{(`p~C6OfC|46 zga9#bOlK{27_<<9^J!yRKXc}wDdrpxq<%2LFg%x1k(G2nnVJpH{#=Qu?&T^22y*L? zM2{B-ZjzKXkD{xw?yS=Y#3sYVaz$q+T{#;U6pomW+2p>V9KkkjLoXV7~jKnZ^pLD zlPnu`#886DxC$TwctK+L)UZth4UCeJ$t(H5AD4E>5-Z74(Ir~~k_jf+r{PE0RIX`s z)1HHJ?A8fN|6QW^xr)Z`tzQV?Ea^ex}NXQC~+s$-w>S}RBBubs!kXN)fRLrD<_UbND>g`D`G%uJT6a0 zeGt4fW!zdE?SgBW8_th?}s~akObRQwvYtkcZ5S+6x2S zC{2h2K{spZ3LN#Uah29{-w90y38%jGDh}g`$qkh%J1Qs3Dzo@n-_3jGU+Gz=lH`Ks zB-RD5qM#6RW0^HpL~khok;p`8p)NcABVXpMlaRkt2#xLwKQo=n9sx7v5neafFc;y?SlMR~cIqD|3!XpjAt_4TBHl`sh!ZIP@@ z(;%Gf3)fk5_V4}HKl+sL{@NS=&OaR17hb-+yQ_(moqhBFl7q|Ny?j|zPs4WVvj0WH zl`G6oaPsS;-+kkYS6J)%SKs^}uUx)-Ik2{4c2*Z&xbVUsyb(*~%)6YEdS!3*$R~d5 z6B1+e-Q-~K!3=XJIw$wcx4*6My)W&3N#=O`?6Zm)W~w%3SmS!-ix*z~JPVDF-}=u! z`fuz?_yDXi1vA?JgE!>j5a!5%890c(n|p|ZYfU+vll$#6k%KdPXM{I?c6=uXo0YG- zA5zQ5tltjj>?F+5eVCOQomsDU9p>!JWgF)9HnV_c2pN0^(`eR@8asp!<}k}Q;Bib2 z2gdGmMpD;tq!2M8tR7qCFR#yxx;31;x}G(T^?bmTd3=1^9%l)g-PHpRyz|aB2j(%8=a)36O8deTw@l3{M^pE0!2kNTJKDE6Fvpj+!?!t* z|1m4BWm5c`r|uHxMSbnY*TNd$<8H-Fr!g-9G9$KZ9}HV_aHkOC89&=z$7`D3*!{){ z2h41Kt@&DL+Dx|-I5>OjY>>sDKL@-T&?o8k({R&q@Rg}xuu9`=z~alRz#D&LI)6ZD zYijQ@XY}LELAXoDA-qg9bUr zn_W_E8H4p=LGdFjORZimB)<(-4##Dk=N`$*QtKARMSY5;Go)Y=Y=Gw~8K#Cq=ozP0M3^)w^gfFN#o&Y5P zC!3StK{RmNI)s`w$Is8X4oyhM&-1=gnDo@rTe6n81jyDiW8PQ#m{|kZYzAy6Xi~~l^=|0(wJY_H)+|k9t^Sfip4#G zMHzGWIE6V@S7n-Qtky^PUIZbMcOR}OCfui{{3$Zv96O$$coCp@fl1qTZnY%qQRkv)?4kz8`(q$~* zo;LxVs#>TTcHlCC;gyQ=AAtETbW>h6=!lZ_3_S+z2?f?VqSB4G#!3;wuV)COEi5R4mLH21!V}YxwDk#!SjJX$@iN!Zs)h?K7wD-U@aC=BKT z3uRz2k5cbFk~qrcNA(aT!4OeW5?C(hm)+=KTKNoq$6gIF&5uV(8=Jg3tPcHQwJ1Gp zB4Ig%Eu0`?qTU9!C#H(Z`4NwTDCG?8P#U9Z+OQWsM<6=fl>CWR(hC;~IeSWbHb|yy z1@H09n!clT#yU#U!z^{CJ4)GN4F5^bvCyFjqQq~9dSn@FanwFW;Zvtzmvj_GV!G}? z+ox4eLb|ar(xX6mI&M7A6m?6mT0*hMW04@D{;0?nIQnvC3!UFGf{}&_4YfPKWv-#> zI7c(W(6Dt3q80U|Xj98WAqLbV5GVzK8nlE_4&FE;&2~pW6`vk-&{%;xLxxBeoAKVz zh#Tqx1e}m=10k6FK#1OtP~7D%XdI?KCxsdkLf{f8br2y|A1?BW2M5nA#BnVFKCO#t zQF)iw-dSFpOHijK1Isw8`)#1-P_Gj&|HV?2s7s;h`jo2Zz2Xj;7m{3+jA_q8>{bt8 zpJq)*IoLu=of7!huGA#W-69J+Yugjyw~D$Apr^)8SyKeze3WG~Bz{D}^MD^Q`lFHi zZacy$`!!=Oav5EMjk&g5!#pGZ6CM0QK)mB7OaW%Sjxo4To52 z@7eRefL^O_u^b<-IWQmey^SCFr+?+&KPI*R_K9ErODg@Zwcq?dpL*b_2ei(0b>n99 zB=F+ZkDb@b$o>6`7uR}m&~O5qo7)e5_cK4ScjKv@r}i)I-gx-y=l0&&dq;unK)tlO z$!5WOz<={!{@1^P)?_~OPydJiPAKJ%|M+*Mm1}?F)xDaXglBJk;ZiDo_|C}(aj^W~ zl5&rgru&cWKKB04{${q9?}bN?BVaFS734i3*-gxcc}!U;8%%?F7VJT%uJQda?x)d& zKWvIY^Svv*^%~l^a+XIgx}Sb9>^2>AXY;gCN*kS8yGAdad*@G1D8?}yR?uEj{m~v$ zHZAXOhu^Mu4q?Nowumrj%7aOGI^f)CYS*YacYGHP%q7(y?I9h$(-ULgsdo-kKY)V| z;NgJ>P`g?6Jy21N8`htm|9Jdn&H1bG)Z2)|3gVE%lNf_-*fl!jeK2{dUhabKs~xuk z_F#&Gy<68gJ9vOQInWB(Aygdx**P#faRh^`-h=xS`v2-})(pQEo1-1qEP2J-!IpA6 zSemDn7T%iF(V6tw%o46QZO|wZUgEeDW0_YY2G@)@^^AUL=m1z;f`l+*s=PBT>VOqN z3khK8G0AR>$J=oE^)I|n`w-dq#2a3d#IopjEHNT&L1tPmW1ZFA2fse`@(Wk+2pEP* zHh>qN=L)|V81Bj1&Xk5R-qhS-ZtZJBpwpe_+|XO!fbt)}ZoCE`d5GExY{fhAG{y!; z;0{~H)QC&TmT~AW*wl53iHyONLRGM&hH$CU#4fDLsx@Q92pBCgj;sdLffL;#W}G#l z2xXVnA*jQ>B9IvclUZmXw4Cx{5=!+0fcvChn?pxO{sBS)qXau>9>Z5Dg=Q+*E2R>` zGLkS%>r~cQNh34fS^~GB@g(ZyLuVzo;weDrvZPW=sc4zJI+2cGgRE7xDzohKi~~+G zn~)Qe1yo@LWlDmj7v9b2!^v|*tpTqKYd_@?fC@g&+E%kv;#|WOj{YyAXwf4w)IWX; zQWJ6Em<%1Cv%H*f2Q$<8Le*!SdA=V(!5sK3KqqY|GQv@VU^~ zoW*r7T1ZeHB@2$0E9RZ+C#i!#EVH7Vx9yCTo~kP=eyA{9Jg7 z-IPHW$Ji9-H_fUh=_b8)U`VI#`9`cMrdsu8jp`Y&L{f$6xRUTBS^PRGGvu73YNSbv z&jGPBE+;J>y?Ksb!$s597U~~XTWgU5Zf`7pA;9z=Ve%yNl^6J7 z3v(V2LJUg{-5Dg+nLI@U!HN*S$m$Qlq8^Ee3mZ%mVnnxMY*T1fXt=AJd*+1~nBINiq*t!* znQ{;_ZrmHFydHy;1mSRMxU z_OD#|m4ET2)tNJ#DYb#s>YGU4e17%$FaFlwe`Vu+hvoZUegBoSSMDqYog|gMe=6At`~tAA_Ff#%Q6Eq|xfxItxpMcV$C*I%9`e4HojmmR)D ztC(?opk}uI!&@QiZ_u){wxAX2z>D9aHSzm80|o7C{SAhlsP``-vQskZnRLIM=kKh( zb2j_g-`vXEfWh}`E-`TRrrfW-dg1ZG|4x%cw$izD={rC6mADhzrYFdqtizXMPP{pH z>+K&i=N|t0jcvbZ|HLP*n}LHnL$`fy=it&Mjl4+~GPg1BW?y~ukAHOc`u;uehV=n= zi+SR=wL2NlAOng41|Iv$_20OYa%b#GTx0&P5C0y^08YMPv>k>$jP~8;cZc&d2QA_K zRw8p;J*|(W7gW>Fadczm=74eL1KhmH4Fl)k9~kT7e2A*CrmhnDqP$K6y(+huqV2eh zQTJaz%LVQ5<3>vHt)(|+Cd2?0_l}FS^ePIVn8VK}7N)rH;J%3|3c@i&I-!OW@avy! zw?PuzmV0=E#`i5993T9L8?Hn|A_195O~0TrKQ?y z&CRxLYEl~~`*`E$h|cQd7!g$00&Y~9~q7ajj21t_gwuNpO zX&?@?*&B@q)dGM$t<(oI3g2>eQG z=7`3^0Fn@>7jK>AwCuVc9l&OB#93X{A*|KmCxNuYVf1s-)P1HLoe(UAmCsfSi?Z6+MBpT7I9NcMv2mh3a!OzRWZn6i~t3o z;t`)1*G9k1J(10#7e&1l9#b>6Wnb|*tht$$&<(>OP?zMJ5HUm|C()#SM@tBUkC~e% z3~-cln(D^6QHtC3HX)tUa6(~0K-yE^x~B6k>)gU40z3)C=WMNEYzBbXA0ftU+ss-2sh zI$g|R+s#tT0oC($J+R;lY`E)@RE5@DFd3o);#lnAIpTkOw6H2YFPbH?0?e0|7P>jp$~y^N;L($3EEY|)pc zr8%L@dyUj0o&mH?=^k)`qF5d_RcvdWh3ig;shz!RMV`OU2~o|lhel2a7dTF zQ1J+2!og|X`i$K21PBlEkvX_!4qAFJp%BcAA?CI4WQP>iF;32$#v@SLeQbZfd<9Kq zZ?*T}+4oOrC|~{lE1T19Md0obXCqgu^Ur<|M+SQ!xh$QAZxM z>ovuL6YV>uu%6vtJ-IsDeVtRtwqbYMal8FwE%@yrKfJs7+JAN9oqT^^r>~hO4IFgc zsn~a^GbiDHejM!X>Llsa&M^;#PP+bK;a;oJf7tlKV}(a}R`e{e$b-}6SFrKJ!k-TZ z>-7fA$-x976VYNP&Hik{}IfuN$dT$bK-<{F+S$<*8 zT=BueO=}cHXl>z7W~5-tqA2qRcdFNyCPYkcr3XxFi2v00UuTph0gS}$A~KWDQ{ zv1;4{SS)w~o)>@*Hqj2?#$Xepiq6ybWN@~@LOKC9xO%Ujz+fND5Hciuf<|JeFd_{P zV|3WJ&?E@;+UY#<5_Zb9o5<u}Sy^x+Y;ZJXBBAJg`X3mMO^qBdUqZkk}k=r)maRZ#+h3 zrfx=zlr-p)DmewXRO-fbYNuMMofik}I`#Bt-M9zE6|O@%JzV!hh`=|v)}VaXR1!;l z($1AW%cy5PS+-y#E+k_c(q2Q=Vd;2O^+ac0H^Yf{V@zwUhC%2JPZ-8q7<*uqj&hn{ zg180iteKIw946jo#vkc_cVTmx8dyfKz4K{auqvN8o#kqj#! za64l=gk(J)mFk}syds4(D|)|3MYJWVL`^~gl04%nW*tGD1`4(0M``oTCQUbzn5DLq zu7t9o>L(&A5`aXZCp@1OtW0LZJe7karlW=^#&=cM@(8OoR5My$jN#|;MmQEPQ%6(E_Wc?}Bu;zozrw-c9Ns#KXH`S!>Vl9XTatOO1fb+7mIkW1E>0;`ZJ_Lrrs7aT1h<%NW;X-+(5(QwnLS@phPv*(!p z|$ZF#dJ9nzY9hSOFn|emJng&d3=x+F(EN|qMSEH%NmZfV_kQ`{wMce+561<>N?taxIuigX$>aV?a**^Qp!Z0m-7Y_72rma+>72R4h z+}+bORta8Dn}5&zWR`SKUwpKDf>~bkF%J1NUm5m@4_dp-Z%NBnjK92&8O^_G_WK>H z?=p?QRhzF2GvDi&U*r! z9JN!ApKnd(Ew;>bUYdGutX&F+>ZE2zFAayU?lUJk7CU5vnFfEwZi5@=+Q&eZHd$}oB$JpBYd+;wx&mSm>x!xIwScHI+;E-8>FAgY1#iC46# zPmzeYSFb`4T)`&a5Z(o+-`t}uIM=b<+Oej@yZBx}$QYFsA#qul3OSULi_+(Ki!-v1 z-6>q}bn6sAW~^KS%St|n6bjzX0l;APbnTErP7ydWR&gnopovDfTQh2^s-j;yYCJvt ze1?XiJ-^o3Oy!(q&?nKYI9N3&22@6<%zH? z{YSq({Y^oR?mJo*DCp^qf=QTuoO(ycsWFzqLL6R&WNg$x8tffmdRFkaj#z|p^p-+2 ze9?sa470;0`Z`RBmP%y_)g!fjC%U3uV^ra$nt?>*|yays(`5AdW( z4?3Tge6Y!BxNCqVyU{)2;2IL(Ysr)8LE|->IvST{S+m+;;zzZgEb>J?4FYwcFibwd zO(ah>(25J5-%1kCNu-~n09PojN2%x8sJdz*O>WXG+3WyyO?5|bussb$O_Ee9Rv9Pb zy6+Hi4~Co0o4HL`#qTt#k{nTALXAa~lt6OSIUUnb^bGcx1OX7Gv&p0_M^o3MI=kGJ z6>Vz1ocHVwuNGh!8!^`$I7`x@g-be}{=(43prvOb+EZu?1}_6Tg<&$;dL@s^r4g*P zRUxc~XT|&Iu(vG1nT?JdkEP{PPaN_#Z?eT9Qk%nqVnoO~_E67{il(EMbsW?%rO|Et zd_*Dmj4EofMV{wskdd3`=7~t%E+<7Db`v>#99|QPo{NPO4i3@-4gk@_M0)5vBABzf z$Ghohc`!-Ar=TW!JOV(|GdtneF27tHH8amj_H0BA7+KciG2z6}gGivU>>C&NQ}4d3%TIBm#!ldq_z`P09=h zoZCaTu_e4mqi8v?oMSM}^sojy<%*CXO`t@aEiuFELWM>FaaaKd(kIJBWs^2DiQ{F{ zBKyOUt?f7>DeeGa2v}vKg;G{cgSkdn6SVeP_G@zU-ocbDx@F2C!16#ef>)Toxs7dF*jB>Hhv$>!S(9{ z2h`l9Uk1ke(M`=EvcGmiWs@OUjxW8V8NN?*yjEa=^|IUHuYcj@Xu14Oq$TwA30(uu zX^y1Remnp6w|CfF{)N{^H&@4xJ+@jdm+!pu*gG(x@;U|jZm+_hdTReEh5u>B7h_o` zyp8moOTGEd@;l!d{O;s{M_>cL`_b3WeVYdu4$K!WjZmQBfY#$%_%WNm!5b|4Zm+^G zUfjJH!k;#u<%G5(|2q$S=37bL8BS{JHI3pP{C9hvVQIVu-5N;rNa21kXuPW9!M}Xk zjQg6w%weDU)Aqp-vev1g{FejeK!jUme`HNDoOb}DEI#hcCAPse5_Fw*T$= z@bdLfo*$^n6bGF+AY%8@KY01v-Z?&PtVRCs{q;o zMyCxJX3=l*on+2-g9GB6KgT(A?37}!H$p$f!Hzl2fl>&K9_CA0Jh9rf$8U?WG5`6W ze&m0WDF}Hd)THq_W9R zaizD<40ZvI%*3f@#YFPV@G**r0;*Kx!bYRnuWw(|mm*YOLY$H<@VVH+u4jdz%A_J= z7@y{Wfk^QXgQFxaBPAlTt%2woW{{9()cO6_KIe4z{do7z2uTLb>OT8pt+m%)`{SIm zzs}x@>G)dOGt&EazO$w4oM~Gv&}iV;?QZhKZ!%c((wE-z(re^_I4AX_cJF)gS-x)H z{qkG6oh*G)gRc_%53Hq11kNd?2s{AhxC501B<%RO(z;EEI>C01MHI1wV?caJf)g6Ohv*yK~z1O zm;t)3NSMNkrWXz<8a}}FU5Y#mfWihj8bD}n@@6e&Y!2FS*rr*IW9)2li4CF>&e8(D zZ_ISmCjH9NWQ7BjJfc8q$a}`Z{F&Lx$q|=QFAP_hct=hqP;QQtqk!_5K9R*3rbJZ) z{2;5Gaf5N~tUu^sT&2LqEJ(I=PbR_CLG7^a`H$1vjn1I(D61?gh8{VxrDcR@VJmezqu-=IOg?qwhh_TtWX%_<{~uCjLSKHYFsE zkR)W};wd^I87E6I^q`juIx36(vgCZGdd~K26Q0L0(j_VTs%nWo=Kusg<6W@Qm(>^0 z3s1+i7h%|xFhjzA$-NT;5?I@@NuNW{6J0TQ=%wqIN<>-im5%chdJDfu2lAlVLI)5B zqx-(TC+PW|MQL=#c>8V|hmw#u%92h_IVzdECWOA@FbTsIotTYYZP3v%lLk(m;UmY~ zci{M{J?H5>H-}^yJ=62B$g;s=!U0-))P^b9OI8Y263Q&g7o_|Q2`Pp%=m9}og2klJ zhL@ec7IMu`-*|&w5%ng=VnWHG)&lhfwT!TmXfgPezlQ80<+zYTc2wqJ_v9Z>OH8Ai zzt^jfZ*-B_o;=mWbqRZuhQo_vOulc%z#@e;2}UQ@K3K%@U(iu0sb*+YmTnkhe+1pd zeQH36<&4p>mhO=qT?nKHZj&G(n-U;|+9W83j!rdAF&I583I?H+lwrTIv059Jx4rHkO3Lm4r~czQxecEr!Foi^12wi8xv%(Oqs&&T zRQ<-k_Rz21iT>SJoV!TEMf4d@4etU^I8OfL?wIACH*9b|(qiE@^l0Pl=hS&ISJo3o z14>XcuIL@@r)Uo!aB55G=eTn7CkZVMDb@o~<9QuohOcl(5i7d=0<(}b13jF0!UNNy zE@;&h-W$P;#MH1NA7p<;#U1eR3h5wG`$>jx(9uOkCS%8xTvg{^cX&K;9r0D0m>Yz)0hdIYVGw38B%+ogV!ka;BVMJrV-i2y_MK`R- zK+(!(6p;u1*bpf*)dc6)b2MT2!Q1x|io1512jMCiXMhwUDSWBf%hZ~T_*L^~p`boi zD$FW1`DA zvN2hoJGLeA!cgmJ2h!pu1;v1Vt+ynoY}MHoRKwsw1J;j{W2a^&iMqp0MOIl+1UY&& zJe4?*dlHmy%2|*0s>8}i1;?K|7d-O=tkf*mf{jVz*!*#o3yJP!Mf({dqXlk`OBw1? z5hvXUbZ~0BPydB($x-U1G!*T zq-8nVMs@PbF*y^d>Wq%>RM8U>P>CH-ngZ^Zh!r&_XH|bn5=jLiAWro;zXeLLMX;FGM|??5^Z=q2B)C z(a|D~*iM<7F;HkeLc9Pny=80NUdgUiA8IWSpK}#~H1B156_#T3c4gS0F;&AdE+C9% z*`z!=niUj7Hk*Rs?lHlM;aqbX_&8RTKd?d6LTuAQHobZh!tiIHC>AyG_)OJcf-NA1 zrXEZb$jz9eocE{oETbmuPz?gJ$ssq|9Suq#YU-1T(pV|W%Mk3ABvdSU;KaVmeN`zB za##A?G3t`<y?CfmiE>QwMFdbGE`qodQIopBxlO3T9NobV^S25X zWRT< zXajssZLOYFZlAO}r5<_YFF&%i{Em%Tk&d`>^zuxzK2&2qEIRlzDgibDz9^K!D`vq- zJ7F=kDtl||JHDesob90T_ZAf*x6;9%8TnKPF5XMH_cOigTU$R+_dbL@FnjlXcl}J= ztgNlvL+&;8f6u$;;cs}&&v9auR@iEd?zrAeXO`{>e7p<0No16<$S(r{?alaAClH2ZRZTT)U=62Uig&8#** zTr|iVJXUP373QQ%9A`n?KyLarNauJ|?{!I;bPu#sF)4s>Gjl5ITUh7hP27QsBSFid z0yztUA(5EZxJJI=Yx)-=>eWB6BGPfF4QL)sP@8$yvtz%g;xsx}DOa`A3vL4j6&;vz$(pnT46?!k?t22|Cy~Ae;wL4RwtBL-D%s~kr5cUutZ0raCJQ@m{3&{GK6Jr5#htLpT}MaMQ;oB9b${xn z>YDT`3;|sn%Lj#9&ko5-^?)L5g@QW`Dx#+8%XhJPfSHbt&P)LYi<^34bQ&Mj4LRNI~ue8;(^GQSz*T$;B_* zESZkg1_gzZAIVKGK4A9fGm4Eei$Vj@%<~PSm(b}3dZuWt3(TWYas-(6$B!*fj58{J z;L3|5-F*ihqnOn6x^o;gCU&Ud7T~@kSjCeDyi3&8E451-xAuTl<$@{O6spcrv#r|O zxIslhOfoUrYda80PH&Z^3r7qCEb`n1A1E^bQX%_7$i%_-wi^HAmQtxVDx{!+Ljh}? zfpy`s$!&&Y>FJj0j7BhIPprTn?o7xnVRT&{lode%S!N7Ui$W+=fy>aN z16^xAyYSdNW5`dy@>Y&JL1Bd{j#}%vf(p>%YafKP+N>mH7434l_a@xff6N-jcW!}e zjcqGM_EQu0CNlF)OD@M*v1>Hi`N#6M_8A&HMYK;f8azeo|AlBUnY_tOp5l@M7if?* z>M`c9JiboEBtM;VjT?CLo8?!p;?B8%n6^`cbE|jl*?aDFH?GXHZ(Mb5I9Euqb*YzU z1) zkU$KR-dArqlWevK%3G;Q6_vP&uqJgBV3ccdq<-M1WspCBN7_cSFd9B`ctaox~@2Pw5{rK;q z2AtB)G2s_$z%vj?=4lO!gz_GayzR+^7)Kvx!j740M*DGjU0aM2Z_6 ziK08FT|>7){=MMQt4r5;Alht$c#`|1Uq!JFD>}solpS&90#!9va&b6!S0T-I9W~8ys zFm*9Pn5xuhGkq7z`#sALF3`NXfc|@)9AZjB`zSdtK^M`_3wH;6Qwix}8f53OHqV?h z=qIZ2c>-Rm-B|h;^QIx7e6HIKlm**K#10oXm8|T(4!*j%!6XRBMFfu-g--P1ChB*?!$~ zhW`ZlVz0lLrRA764))<`QJ;a{S1bB+ZP%Y`1wJS7uUFPqfmBsfer(bcPNt?~PgPFv zzyDy;B&q&)ENP6oTj5~82%nW4h$)sf!(02a5_gJ3uL(hGC*OBYuXActUQpI5>S1e--0a(UGukivz0m14a}LIa2k77 zrZ$m!^1k9~A$_uWaROTYZc#&rcp;892lOGT0KYfKXU!;@n7!2ni@sHArNen`S(SRX zSfpCTcjGi0Gpy!F*YaHLchcEV85Z<+eHTR*v(9~%V|*6!Qps)traGWn&_zTsEKp%=yBavR$LN1YJ1e>~ za7u7_2L4W{=qPYtEM*E`EvOZ4GDAUhc|JX<+6DHd@jTwW8_X_Uf3i+FKBV{ZWa*ue zttcJKogL($7+CNvTi2zaEerdgAO^zhxFdxeKA#d*0E4vNoSQK=h)Y>o|E`7bvJ2T1 zzxgAZX5hahXr~O%_g8$^kokFi$xz0o?G1Q2vnPPIOJQpX`;2SivQU86bt#|>Jvr;8!o0Ti#Qe?%G!UTj_cMF_P!&`@cW(2p>|cKCQS=VDHKI zx$9eX&mA|{g&|Hce3}~A=U{H*dx%p}oQ+_=g74S<=$st(1uuE6ogDW4{Vnr>NzemoxyyhRl-HG#_3Jcaf$)`mRViy+v8DPViM zJhpzWu|xCbOW1LPJ%C=oudgbe&kIIx)bw*^J7lyFTgSP!I6UDLwlLFUu7Qy2U>`eo zh(YIadaZf9Yi+z7Z@lx1E`ue#5Yvc-HyJWL6nj~zuRg)O(qt+UV3=UeDez%S%%bB{Y?=03W1a>)ZKmUtH^IQGZO-@3c%`;J z#h)tP!3>P{_6vO+mxEA*;P-k#p< zTit0ef@Qg~og>;Js<01zEb)4t27TX?^O9g)=1M2Ksr$cz`Fui6tIyKF!&S7L>;%Zu zsM3&p4X#23Jwy(;E)OGLyX>;;VodiyQX;SYfZgtM;k7xU?DdpfC|AQyBQzd)v6>;r z`A~MGh>O0u`A1O$v)bQb;QM3WTYG#N{d^;)Jp*<7QW4pnqys9}!KKooeO@AU`Dh$? zN)G(KXPcgpD(R)5Cfi1A<*B^uZYK+g??Bj#fLbuDrTUII*?Xk65SIyRjCvE@q+FXl z0~5|na^>dDHUGM^>8&SnvkT$Z8NZG75^i#3o&Qemtu0QF=2Wd04!558#HX$;fAR%) z7T7Hf_;fUIyT{L7yY`bm`9jlB>fGQ4_?G*`R%P3-zVVutdY;X;b6bx+di_Mm^SDpr zrGdWU7UhL$@P@57Y(046d34uLC^352+lgYJIZJz^0+;RzyAG_~pDC#Jkb8-qeGj{| zv){w+x?^_&`qFp(T;KKp9R6Ge{dvIs?4Nh;&uf_M_ZBclnSF=MBdf{h!V~vE03}eb;k$J*Qf!JM^=!fkT7A z#|Iy`TFD*SYM?!WRBhIw?fY^{ine`rM@=h3T!AZ9KKb;j61TS(uQyv5ZzZmGB<|+t zu(iv${PtwD@Mjy*;!$rW+MBH~bDh_(d(L#9)sGPF6h7^beOd^*n$y2sE&UZa-$29e9P9 zH(r(&5KgkXCn=QXnbB{U;$Bc1)M^(9tqt;IDwMR6pFK?s3yOC+$MOa`K6Rou5+MaB zMazdjL7R@&f7(uIP54PeZf$uPx=Fn@nVK2lAS=U{n5Rk-oT6OI7V%Pxb_|zJ!L@LH z#CBChMUQ4bb)}5ajC9JCoZ4yJ=GF2xu9`;TK09krR?#@94HI12Zn19k(LjilOlB1_ zb{w0adF?8GQ(U6RE&VkJFj*y}(%#TC!72>Ewn#3VA7{=Yght}XG&X>0I%|QdxeA|x zxj`jIDp;qvtcD|?U)8j{az_8t(*{CWI7pZr}ygy@muW2wr+%c*P z)1ulL1y$P-LTa>a^Pnc3+$2yGl{l;tH!0TyS#1C)C+p4Y|oQV{P1n9xYI5JkFwvweyUxdHmCTwtgH> zb(d^F$_26nm~ats95FsnTaHw0f0k06@<+8{z?NlM;bI!!QMgiuL4TmuJ-epkW4kW) z+fuGIEq)}mktb;>%o!$mQFJWUKz6Qjm7g;DQ0x>1y?F1HV+)$ji;2>kh5DdYBRa21 z2KJ6Jw4n>X=(C#{_Ozk*=Fl6CJ(Ez@J(JLIWaE639_pj@80@UEbQ0KMS#na?VZ{l{ zladUK+;(MeFOmt1(&;2I4#OVx%igRwm($4 zg@!sQ3<)j0RDYagmL_|`D*>6q?V;m&7DpI*xj&#;o z&Q^KzbqRr!5SkeFEQY}Xd$AXr7=n5)(!gb9Mup8ri@Yxlcmjf_a9X+>J5U^2#Ua{@ zJ=DRk!cfpg0wH>w*}WJa!NJYkN^mRsAt#?Fdfw3k<;W#i?B%MwE5DFo<7=kl;y?ni_bTY=EV9#dP94aru%JB17v2Qa0nYgv&bo8F*z+)S zCxvnH0W;piaO69>*Es}k#o@C64B=t+R>Zc55etoqZD6MJI{< zZb(R)e14i2o#S;8{rAI3VJi9QTJh_htYba*9WOAS2pajSY3#IPX?+kB zKBzROFawv5zQ|&QKfvjt=sIc1+fS{p0<@a7#4_`^H=*V8F1nbtv}jFX=1a1YM*~{u zt*d*ud;5wr$l!?5D)Rw{rYPZvN}*S*n=mH^pGQOL9lR;ae5jxl&`y@54e%>pr)5In zjVt2Hm7R%oedCxJao-9fZ4oxbtzHzr=-8~e)j-YHoNSy^2rUi~ffkL&7LZmofd@Y2 zPBJItnm2MXXhM1zfh5IRue=ZTr~|2&LL1C`vfPm(#fd+onDSMH9+UZkvsB;q@hHkwwQY4 zdDjc&pqP@tNvY-nH8#OeFA-kTjwdf+U4^8kie%33_@Nh{8PM0W$4^r`%K}S4v~-Id z{+cVu8C@)pT4AQIb#s!XUn0}$6qlP6a;XPH5`YI*)&YA`Ld+K$2**U+Xe41%JLuBU3krnoz! zk|r^ISz72`t@o+%i5G6d(NPGMR2&p~&eRbnwR08^ zha@Yc?!QOHxu2E&!BH9ed;NNF1edB+#2nC))_Pn|^+icIdi_Zl>+#nM!|@)AGv|ZA zowr9a1N7Sap}0Uai%A*a=s6z;tpol z#IB;=L4rP$@l+Z;bUe7joQCg|p57jZ`c)zCQ>l13Wfi5C_XY!oLk3w6W1bg-d{Xmp zQOwk4PP^)r)sYmcr4m1v_Ckj`=ki=qJD7`mXg2VpP~>?g{6xAdjNY&eAsn%4SnmhV zZ-AVMW#2eP{1gk-qi+S-kBZ%D#9nVS-k+2nUzDW2f)4s|2iKq{v_Ztwr3pd7AVzig z`!R5y7@tbG9#5M-O_IPE)=sY%hrKcers)RLok@A<=*kbrRvFm=oT4#M^>K%zf==;{ zs-5{uvUp61mid?plLy7CCs-s>NB|PX^3&xGN3IHlrmLA3<<7Vq$IAEm<%rH<0jn2( zJ*>z-a!fz@GxN)7Q0MarcWCQ%hm%8U#Vi|FyeX{|bQ|X6X5gm>THREF(l{m@YMn$6 z!+hs()OWL*(_E`w=HZ_c0=RiI$^V7>ow-{7nmuj#u9WcOY3&p;nax>ZC_~7HuB?&P zB;6-s=NHfN_OJcg^8RIL`Q`stkKAs(Zn<06yPw+a=CMAO|CNVC{E1)x*I%BP{^~z? z#?FpfE`R%JVc!1s-{KUdtt)T)k`t>Ce|Fpb^iREdcXI7-J|Mp1wD+zyv6S`7)|Hok z;DiRp-{;;zyXNb^=NI?HHyoaz3;8*L5~F42i6sNVcW^;-^ykwGg4J7IQ`|n@68^8F|+t}ghTTPtiwWB-NrAbR+! z`Q5|UzMzJVtyxBcYsq@}n&uOAhS+t56o*MFuij<;(%&UNcxEikwt2|6J^R3M62NZo zjVt++`PR2yyLM~$xSOk8-hSyzf9%$eJuds|)}89ojqTm#)$P|@f9-3RyFau0Ocl&NDvqoZEfd?gLGPXKV1xjbkPSR~qcPm%en%Z875~4X$?eV_oayCPHRi zU$gt#*WPy5NQW~Gin|}(y}=ZEnrEzj%I#k7?d}Grjq%T3zxrr-{d#%h-#)V*!z*5K z?ZFS;y{nSS^&-n3=f86}rw~x`lWZgjn=G^Z&wr5Qn z!@c(=4cx7ZG;mkbvn2hCfRH=vC;D~#jPv)$9eFN);H9C+l+>`gI-meCZ31HP#^u|0A}*ei%Gx+CH1)X|N8rtP5@L3giI!lj8^}N*f~7JLeg%qAn%oKIMogOWeOyVl zxD1?L!mo8#zVh|!G{#K_Z$Z##Fxb%M4#LP5#ED3E8`nHkY?L_12L{!1z z3yhjekoYFavxXrPqjEE-4Fxpvyk6pfPjMMZeu?yLoI(hE?hztEo=7Vi7J~}Q`e{u_ zbfPU}%*5qKGdUG$14^kbAjr_J(Ulx6Bt2?H!ZlhEIh_ei!GBnk#h?iNKFc#1GRXQB z7caM@ZkmZKU8<3hX!_GY6EaMErNyA5Y5-0{3>?ACBzhQ(ZT2>WCJKX$@VX1eDB$fE z2sLqPT&nF?ImV{Qv`ICI>Xr2<0F7VeP56n~>Yqu1LRPK_sxj)zs|7_u3W}KYk2xP| z;d+G^)_R=^T#y5*0?LqRKVjvDNe-6>n$ODb0^J7{F#%PS38eJs-kF+T2F-8NP+bTE zg$F8XhIdtVVD}q$R}RvPvI6CT(&M;%GW(;#MWpYmCq6A};;f+5TnWT&DJbNxxEKK( z)6XU=@))Q&uAG+BLhZ>pCR&@6;Biu-I%*s6zCujf@-5tSryl!2Co&fCpg`QP=*|08 zZ!nzG<8$MRsK}xLv08Xan(QQ-R7Ga|$xqMP*sw$P=Ztsti8{=CMW4ue%=V?g2-dI7 zEM+A(JnWVazS!$~bj}8Ynt=+h8+LEuim7FB4YNRFo+vyROjCIvI^?LOF0Fv@nA73L z;@+|HId`%9IWOLm5HoKhn5pvdt~OKyrYw41+9U=@N_`;Q?>vx)Jfr*AeEH z=t+%w{Bmr%emVgcOR(I5^%#5zYiE06~xu(^X@ufOdFosDjW1ialOQejhtkMX>N1Aff3%Io@Mq z2$5&UetRKhVYDpQb@#BOEQ035-HZ3Ii~Yf3v=e==uIL+TqENB$s@s{0)1-Lp+O79_vnjIHPZW!|moMmO?<~f>KGkN} z_Xny5gh=1pHfu2O8x*a>Y!7234pl?h41!>}#Yhi!m)HFxN-BT>OJV?uE8>YR1}qnI zN3D%+c(8MzD(L+pj}aW(|oS6k_R*SC)1lZZ>raR z|4rxh4%p-~CK%QL&rRys16LjRhsKShD~&D24R#?;!v8ZFJ~ZK&t@pn7B<^e;U3-HHFZ{?1oda$UXpiBDWRrsMwY?hnZS*0(zM=%cr8{ODi#+t$*i zcSDQZxqtFQf9V%xf6@2+!>4552~2~h-o)*6^Jb@k`=tjI=Fu;I?qw`bt&xq^;iC1a=m{0_1n*EKjW4UK7Kn< zw3>8x_y7K;Z~mtbKFA)VP?<~7l^ZK0Md$0TJW$93% z(ZIP6)h7RqzwwP9Na4zxf8a^EUeB+;-RGpK|Kks~5~cCK|KWH2v*m;QuJOCIy!F3- z&0D`s^zrZPSf9IHQOgS2rf=-h%32+B=zf40<^Ntc`eJ|G{l|UYP1mxz=hXx5)hGJS zO-Of55jc9`uXL})p}sO7OJHjRG{a6YJcPYvtd}%Mj{bm*PyN*LiAx0x%Qq~ytgrkd zH{6eX^r_8INW45G4Q||E)ifGBvHPhz)}tgh!+OITnl-t%UgF;W=wnUoY2tkW8Z4=k zPdxGLv$wn2c2OFZFI(QDr||C9?$-UU`!65c;C}%cY;Qkb4PN%Ld+w=;mOIrg{`tRo z?qUsi+OdF9P@5Te;tCQ@?f=w>aHXeZD7@z%23d)RkAg}oD$ zXA<=!7lrO8rl_htjiGBCio+8T>EHh6^HSo~`Y0m-!s zq$w#;8`fq$z@{3LRMnhlE0E5=DPI{v^M5HEe!_IHu3>|=a;??$AV@u)(h}ejjLmEg zLq^lIX3^?JG4Mu&Cvo-ILdAqn#+)oGTBhm3q{tCA<(!lg4v{THb6@LqX1t>rLdvK9xWaTPg~<8mL;w z30t|pDqgwjmcz=UYJI0}vW~!l179#L8z|E`OGGDyr^8jZQrpzYulpeNilw04^Hav9 zy>ze-YrzUi7hq?(EpE7`hFlr>%9&hq)x!={k|tckX-M|6PQd9hsZAZRN&J8*W-kx< zd0t&uJ-v+aT%*m2({Z*z;82wVHl7GgKp$GZ7ws2w;KdwxF$Z4EffsY&%pBkyqBqF; zo5@});ACrv)nn^YBOx^tWSYxDry)iUPIC>OZvtnyJ#W|vw%)Y;n7JbE9gd=#c$b0Q z1ih}OZM18oh&%Ed*$aA&&^bM>wbnO2FnMfbMf`%wF(=40Nl}a~3Er@uF(XK_hQrrW z>V-@YT8G)2FZuKaZ{FiZXX#ek%EdpSdE#6UMa>UgRuV$AP~!lpdCK)xPHgGQqZ81| zFpY^JacE`e%oS0Y))r5l;v1U)f~ENbIEFS-ih4ySe*%+cW@_)Ewb;lJX*?w~8>^a# z*081*9^WF??I6$8FzYFjOhM8u5=kpF8b0+6%-LmAs>3KwOl_L*ppijr?30$Knd>#o z*~ZycNu^P3;MxU#sW8}Yj6CixLDqPZ!6_-*lxBfUm9Z{?rhl|5%LatODi8MDH11hgF_1@NCex6vvER+|j4-_B2P#XAnFA!?=5ddw1lDCZt&!Y0+520UuV5s&z`^o?)NL zGouwQn5{e&SAvYvDa|>^Wa|YTvJBUht9H6^6Wy3vW6nC<`QhxS^{?AD*u9Q0cQ7+m z!gj(@D9uHuC8{;mzo{TR(-g51qS+ulO-@4G@w21ZsC^D}WP93ElIKBe3ShmuMwqn{ z2YXOkg>WJ66vnB!J;8yQW=O5bKgRtSPd;a$?L=;#vmt_x3cSG)g9(IoXs(j=tw-)a z&s$4K#I{f%8qkCp+Xq!4pV~xdi%)juwPg7WsK`&x#mz`whmJ#^G6#O1s_32Ox-8! z{}&s$#Ioo8Kq<>7V^?|E%m5e(k?_ z=dZNxb$b_BUbBg#bu{ktH294#`Af$6n?L@xdOgUuJojZbANa<9y7*z?-}ue>KVPSR z=Ha=RW#PH@@k{N&J~rz?hal{HuROj%OE}_26s2 z_2-`!{@=Xs9sf-BFaME0|7O`O{L13p|F(6n+04ngSJta{c1L=N`|?3QxWCsS)*Nlp zuYENEtB%mFsdde)UU{vrm9ndO#b(y83$Swhjc>a3H&(t+-=zX%P3ev0?yXZhE1fO< z7H~G@g<)F_-0rQ7{f*C-_DjpQ$#>3y-5IK&u_oc@Zxjao##lZ`bB!RdH z^m=ny!-dlz8#j(*S>r*@&3?MR2Wp{c9cS5tq^yy6HJ$>xIKB}O8)7)gG)RceetK?c zHcCTZ3zly@boge8Y-u634%x&VLx%{w^Vx&b>O+T2jM+p(X|khLm0J6RnB#DsVU6rBYdn}a#>70_{BoFC6iUWT1>2oD=4i~V| zvJ+w*x(c>Jt|_xZCRa4eV@fa9wF3H>tLyWVyJ4-jzV-$&Yyc4g`~@R2Q-#h0hAwLO zey6MSrf;67$--J>1KnYQifa%RSt{d6#P+odny4ecrua=fZWZtZvxRYrujsLHZI~r2 zIKX=^3-y}7wr*4?U^5prAvQi)>>~0)QkpP80r#=(f8@yo@ zj{>&>Qi@PutTBw_BM&Ae^+F4EgBIVHcJUmhrfhbCxY1&YHcQsZY!;@k5U#)GKHnL< z(F$@gthl(H6I5-UnpfY~(sNhuokf*Z!?R)K=2Ne$acoet%934o>hHON{a5%zlm(I# zD#&CM=(N=uRG8R}zY$z3VVhs+Vmv{^tB!C&Hy;shl&JW5nvO$bTMm^wlc>&0)cu*D zhda#6NbPBhsG*oui1*MHb^oZh-w{;PnnZWFvmLC6-%(TnZMcAnL}`FgFGguhu3*P< zJYa*DGoV)3nIDA1!>Zb#cNx2ZJ?+_RyKM?k^Zm^K(nG2y{pIPvsgjRe z7`bY`lP^{rdFzx|d-+M&X_zR1Hc*g4%w3jcL|t>~R+h^Vc>v$fD%@v5bUgWa{Y1Y> zwVP8)>b9c`y8fVEaYpDRUTkgq*&77E6LWmPL|EVeyBtkCv77>8=U0To$Y-$QQ{;;g z`C-G;zTqSOBvm$7A0N{9(I-Fk#L=7G))3EoY}a#;5#V`3$j0PzO{f%@Qps7|?)1f2<0_;ow4l>Ocxy8m?aBDkahIjA#zpDbT4|9|tJf9nIX-~2EC^f$}?uRio$UoQJ3+*90hk8pny zb9wp2hihW4Klck8kC|uw#k1eAyLOa6OQ?sh74=Kj zsH;6`?VC~h*6AzLHI~-dt$a-@VAEHoS|OWO#;)x4cHyIcZjTf2n|IO6W4Pf2`cmGu zf7sdzq{rAMyzC`kGOC`bp4r5%d>7YqbLVLgp9BxW^AjX{ifd}_WM+KrDrx~v4sm%QR-hmTQKq*G z6SP?MVlfHe1J`+wZxD*;A_!fDBsCDt#x#ki?--(rbXo&uzV%rP5h>UAU#Pme3)!T9y9 zS_dIXCVT>VuyQC$DaEd=ipKi$!UHK0IHY~b4I)qN3n8K;cy%dUCBH#v>~*W|JQjJ% z14S7XR=wtF;;4`)`3a_DoN39gA!z86@n_^DkL?mQke zcTJ!prylSEtS--`%;e^3G(x^6wPjiftW`TzAZ4O9o*ENKkQO>5H>Txar6O5@W>ol| zcG7cR9~ir7rp~*^rAwWbs&QkjplM`Iz>q_MJ73$&u|Ssi1<9<;?Od?ALwQo_t%NQls~UdrTwG!wM8efMg86Z&1oBVof#1D=#9CU%zx7Bm@6J)mDUNr=*w zfy?zX=ljD*viY$JW8j@!evp3pX05poQaH=;sBGu2j^4D$BnU^{9-vE(CZ`Iqu};fQ2V~QET5NB4RWe z@qLrNuniKTTu|CNh;l{U+w*vM#8?pJIX@C#>j>vPo7g?O442%Z{D!U6HQqI;}&qsqQk2?eL52%_f31BCh zrk@{#Jqf1H;_z_6Y(>5pj0a=4U#MbAWE{p4>&)^yDJbcX&t`0Lr3iN{)R>0>*+-9((s||FNX~_&=C^Un_Op zzDR?0-p{_fIp_75n3eQ4ukbJ3w|{%_$+PF+PwDxez3=Y|cl$s5qrFqK3lL{$pn{M_ z&qIT6_{#suYRi*jzf$hkDny?)sU!(&;$NpkD%3 z;{{orso}x5yzGOeQ*ru3+s!I{+k1|vZ`^L2moWp(x^zQcV_PeXc zAGaD!uINVk?r z;X2Q`?p?N!1L}|5erlcP@yFY-jCJgJ?i)|u*najF6aS=L|J~)Td-uDyo;{C$9bf&_ zl+$)4YBt9dc-UDz`H~d*Lcb!dTOt&wspSZ10^DJyDbC%}Qp%bY{9GMxFmWhwlg}MCWbakA{$f`&_A6KOh6ugqXBul#h#U z4$87`iFSLD+LNJ%%4zQ4o_Y*2T|GU$vgbj5MKd*f3ZFo8768Fh2sQuZ)p&$tmMSl; zVP>pVC>C zN#*izbtPQkom!f_u$>HePG)(J;_QR>^fl2+7!iKG09*gH0zrSa-r z;VS|FYSg=EuAn&R;CQMVnJ0|~KIhX6(F+^?NHyC-k)kTOO~}lfOtQw)izinLr}3yP zPAB9C{APM)1AZ}kd^#WhMM3BVyqa-Nii*%jUFOHIv7a34k8ssX_q$bNRTJp zV}?)0)PsVS@|bDZG1YfWz9_xoC+jYzZR{k*~#dLdUgc1gNB(W1-h~$bdv!cJvnN9 zYBRT?LPOMgI^~=_i%$w=O!V1CVRbWKaY} zAHLmpXpO;~kom75M)T8aFUAZS<~s+YF(VODW^NLrQ#8=`#`MEgzN}@B0R6#1EIUc+ z8R}|ozJBbF`y6*-m=i|S0_@l#ahCB=I+t!pubpmQA6p&`1-OGjkNIGI&yvU9&WM^^ zu}ZqGMk9tu7Pi4xE2_2Ul!6M>XH#p+ zE395Jn1f11#?%&DP!9%+T4aojiokPdI^nh_sZr<#B6cbUjeN#HX^jt0+y-KeFs8qJ z=1-`cFZ=kUh-viRFhAUhaK*s_e#jKmD9?K(>(OE^cHO;mRYo~afQ4kUhC}o?I6;#) z>MfRp7&Q{7konHem@g)V!#v;Nvj*~H0vCj-4M_F!x<^s;*#CQOn+YuVY(e$oBq;Bu z6j*QE$EMnx0^N$ajnya8AoC3OaG&L=$k@9os~Ak=W*o8qH@*2{Rv5+;3+0 zf2BD7)SKtux_f-RqkrO7|ACKuWM}6?A39ru`uef;lb8W~a}&i$tJuDK_q%q#t3z)W zcYn}*u#4g8pZxh$G(%(IDYgm z{^(zn^Tw@P^y1Ef_uupWdu-35hWPB(vpRwI{Vnf%zy4<)k^3uN@@)^R_Szu3svl&$NZe{w_03D2_ssbVnr*z;J+tE8;z@$8M=)Th?dkmqClzur-UPq|WM}+uC}= zh3UKfysL=zTqw5*j>A|>Xq{pjS6i~JsN(6^Y(Lb0(KIa z^NkRr#|If(E?qJUiV`~23m>f!Z}cQ{W?abKXUSW?C_HQ?JruM_HY#^W(ZfK+i1zAC zZp<+4N6or<+|Y>NG^}tw-D^lE(vGo+ofCa3CR~H|H|&sF)T=5f&wM%yY<$gjPB}d!bePa{Re#NSUWs0fDD>j7 z>fN;Py(u5elM_B=9$Y}`Z{ske;pn{aO7*&?5R|gqyZOFHjQOVQe9QVbj*+1~lhcAj zSIwg8kRy1F2Zs;J3g0J`^ToKLn@N+?q5uP5;A)}GKl57>Rr*){!Tr^`8{6t1KHT?hG}K24ohlf_ZPxy%hH)xFD}|;!tWD>Ch2J zFA$|Cj%bjEm|le>{W>m`EWCmzsDnjBpKwf(_MMG(geh196^9*)mKXiHfP+gC;1fmA z)E6QJCV3*%@&w-`8utuk3O1V3y_~8?DkNeQjJ#Rs%~2_EOOpg%^&c2R8>7xlDrtsJ z(B!_kIakP2h`19?xtjbU>%Lm>o6^V?PvvE*FP)w@%z>p0Q`!)qqBPQeIbo`gDk**Y zGk(%PF9v6L;Kk*HwlN4|)ucev+FdhOFfcdS41g$+cb-GiaL{w2Q-R^p! zc{~YGQAaC^GUblg+@bEC0TaaaxbhWS!y4qtx|EqFRV(9_;#oG%=ulf4gghwbH7g++ z$B~-jg55z^k$K!2Dx>PsfP`)0W?Cg3~!+;%tkwd%x5Wp zJx;Tkj_zw9=R7WUIHx8M>#!n=e4wh;BCn)2%$>DSPjc?SiaXKNHo@621K&?R)me%hs#1DZ9rR|~~k4to8;PFaG8 zx*`@am>Yk^76D_AF4(N|7U}<=M2Oc};90G&zPxQ{D`q>`<-P z>fhEy@NOYKjk2l{Gvx}?!~PLBATU$5)p_L#Wx9O$DZ&<3t`=jqhUZlcYLpGaWQ0== zw2*i8z%Xia*b}a$yZmPA)OHB^()Y=;W*e>bcZ`i=ycr(0mJ`Vat?eZFW@&wC_uMC+ zvw64WvUHVN1yz_ldT4Cw>^~A&C_W;oY3TU&wmJ= z7lGkprq}J+aHT_EOD=lnZs_|h+RknI!}uPe;|Z3&_TBv-qSMpvMcp#4+a{`NF%P@z z_1AMx2G^{lPj@sk-~$9!uDtt|^$#VS1z|$^#*LS*Mk&^_{&u$pp_P7Vd-xEy#I-4O0i6r+m7VW?^OxU{}~)P#~xF25>!b!AFOImA|Y#t#}c% zlaoB8oga84>7zDJg_PSDY361cy&`ZL0mmhnCX3c$0O|BPjQk9!x@%zfmuP+s+3_lj z%?>6h!rLhtE8f%%C_q#zWaqNQw3)n~M|Ruq)O*=CKc^@f2$pZHPxinab~NwyscNQu zrasa7lOZY&l!#e0e(9sP@D%%i_KNKNf*y9n0lt8e(T`2NN^uJYG$2h`DSTUGf2p5m@?2`Es*wmw&lDszuIywp|z0!Arsf}?^Ol&#Bh zlTx5<1(ghiv2x@X*TgV|t0LX(Sj9BGMhNeG28SvW)2__uknbQT_$w7sjl*DAn?=FQ z6V|&TP(QrT6QZSt4~yWFS||!;Y${Fpz<{2ua;zY;3cg<)kSFc{@l*lQnQMNDiDzRc zpc=r)Q&K7wiB%5g$D=WMQ8syjq9bNzT~WiAsU9GeF!&TyK;mSPDsGyT>9n^)&SXuG zZ}8(FFEz_XK>eM1zsg{0!>CV4hHvN!5MNuK5zV4Ft^SgpDhB#Etf(Dk=pxAaf%4@_ zpb%EOY;n2al+{g<@m@aginRh$noi>ik1 zhCqL%R5yFjn~GZ+7s(Fegcyto#Sg#FQ#O?omzh-X(piP4P&>*2pUQkbo`InP2qboT z=uH_f-rBZ^XsVVL?*=%=kPI?}q+&IWw7hqq{K@LE%Yx;ftw%MN!XLVwjIfo3Z;YKq zpR1wtvJz#R%ITKW_gsr*ekeLn~ zPtmVGTtpsW^xDcvxgW+=5mL19>jB9Fj+agDcjAI19mFA1nG5Q?(`PF##2$CXVsD4o zSZ*`x?H{lM+k!iQVBnBC++hwBb+}eA3^QgWXIUTAc)X~n6MaTjc!cOiT!>K_);`3l zVeQ$5Z2``YhKJRZFV&^lB&b+ivBww;H_-lUamZY^8!RM&F~>=bO$noE#WRUPn=WlU z@##WV!^xajh9jhqB(6c875nv(6_+uKpsVK&W(WHS9@SaF%yf@=*BQ5MjRvfVpcVw@ z4;R>I?NB$2h%ij+6h4y-Jz*-P*&sT;B|p$QiDIxn3B`~C=B87w^--BfTohwKF?igp z+*?c!7htI_B)+7Kc{LnF))|1DF6isK%EvQ%?fSG{WM*;~iQy8(o{JgX2O z8lazj+`46temQCIDPq?poEYBC?`nqBEvUgl8Bl-Q24vQLgwgUPC z78r8(-lv}G)>J?K_~U&}PkQ3-=O6uEQf~C>@po@jCB}?4N<)(AHB+nFH3rm(pgQ*b zlUwdg4W4^;iyYdO2Fl%gpW-~N2KxQS`@6eOJaNlCepMQXeo6zkc~Wo+O65@w9J_jZ znZDeh_q_eU1IIRJoOl|OdZGG3I*5W^_O)l9ed3AZJEb{BlqmpXG z;!F*mHVr$0dMkEduV;L`erEZAs04!<+Z<{A8Fkb^?*q>@qb6!3Ri@WfR21Kg2Y&9< z{|4hd=XZ*#`sM8s9$)m%$5|7%=n1THCue9-XILpq+Kkbo+#m%;(KX1{+?!6~E()LM zP0H37PGi=HYtFdlPR^5VrG_)#_st%8P&MEfBQ6X+V>ViQt7gPaLG|$RO!e!o+s@ zVkOWR%UZmK@d=439>K!QWLS$r&Q`6|;5VY0^GSB%HB(<*))bC1AdcePJDZ(nZk38C zm_<~;Im2BMp4GoXqg24EnM0~^4VtNE^40o1ViAYd(JS?V3SA>ep|KK7oVOxq{kb`E zuqf2IJ=vLj>WXpDmr+#1EATvaQ#Z^_=pz}7Dk%I)g{m#OIetYhNfRG@rock9ARj=x zRZ&ahjw&g|+$H4*Qa0Lv_FM*IbCz-kLu?pGs1RH;%MR#HnkE@zW78V%oL+-9&FE6H z(6s1jv49G(Qhk6gGgea7C@oP+Z}RK2h8BG5;E>@|#g!DJ2iI?jgV?&D)|%-Z1TT@n z)7JDZYId+9ODp3GRtIWkW}yL!zH&V;-@NfD_cWZRn(u-O%5FKPIhMeiY23%jJFZl&b}C>g3SfD}kDX&u&Db$z_eQ?u$0 zhZ2ig;KsXvVX>zY&qBRZtK^xF-r>7aM)Y8WUN-be-LEtJMa}fR@kj{vc<$=D3Kt4sEHezwvQ_p<#2DYSC+9X_b0vBo0JT|#Hy(McrUtsLm!F0 zcd!>nd)x#OPhFOahd> zi@}jQ+GC>Ji=IJunI!Z~Lhm38>gFBoh0qU#w1S2%wm`YMtXlX|8U%-55(4FIFI2k{y}~8>zQbj7*%TrWgyCrdEGAQX=q(WLpc;qKMHPLrXZT=V)dc6F z8!r~I!cp>dyE3O-NMm-v>&s4b#Wh~k>E1MYP&lwHM~zD%`$>XVMN1s9ktq6}a;;usSoCH_KM$(leqEkx|CIMH>TjR;>4jbi>(2&gH?4sDoJ@_Gfiq_0DuSsOb>r z&>4Hk_5%YZ&=;Ys>rhij!_W)0I>ccy)w8b-Zi0L`4kyOpk9-LSdeSML(J= z2I>V*wK_c!ocp@_CSRnU@7LXTv#}LI_||Umt=;02eHjUDi1Q@0l0F~px7x1k=cW8t zf9xVU=Qw|w5+3>|y*B!54>d09c*tFU*Ohg8Lv}0rw_I`VB94bY+{N$}A8bUdB)svj zwNg7uY0VDCQg2JL&W=QIGyv%@>%F|&vo0ZUUYDL~;4oKFE838|Meb+yS& zVU6MPh8jMCej6m3LuT~R=_l3X7x>0iaR(;gfpHN?$R>D8$Vu!bPwP7!TIwjQuGU=H zhU|=)xs;J=GoFOgn3f~uOUfHR@M~=rIK2KUEF3`K5~t^CAxSP_`XdNaPdQ<$)*mJoXQU1qsoXVS71&ZFqWaPod)Kx zZWW4(x}*G3t>1IKY!93lOCgf*qOhFP1mTp_Y@EsDdY&M`!NSZNGvH5xD#Pe46mW&e zFm;p~)=tM2NFqnxINk_^D0MH`ohR!Bf`nDdd@lj==}NwVSxqj040QMQT`^#DZk3#> z3OUo|s>1#M?R^cL97UOTbeCT!Rl2qc6g+oMr}2j>Pw&>Kj1_z3#)k$A?-`Ef}Q z11e%79|lQC?d)tai}*T=sBkLG3Y@$#he1*CCqfTP2%I0l$tivuCdwHEJvIbP3<>l8 zpQ^5zo}RCr+1)HiJ-gFY^*m2K_4L20yQ{jZtILV@3cup%L`V}QogAW;OcIywn0sV# z7Qz!9lqQC9AzBs)gm*w#I7hlD)fT6mNGMAZB3Eo_QW>2lD1|xeC_(HIQi6w>Y%+~? zVg!$I;UQijg|lQDPPq74h*CKQ%W)AECk_K~G0TxO72gwA2{JY@ZBmv71zH*6$)EUq zttI1O*E<#jrW_p@nr&m@35|t6${7hqGm)%7frV+;7+M4#>a-a}-I;&3JcvA_f64Hk4!7qXgfR4lr18vU5)jo%pXk0oC0F`tM`7psns;BWFR@tcx zNwonP2K;n|l57Q-mX4&nL>aN1>Bi8Trh8|xSRPNZ!g;sPhPu}x({?rjd0ndO1>RGZ zt3Hv5Hem;ta;jyVlEguXs^FerAb}W$bEHc1^&Q4g7fLRaMz$gAf@B~I7G;7VlfG|rfv7!2FohLeJWrcpFUnj<4Z*rXGIThdRbBbh8E30*&lnNcnsdl3^e zDWEWD0Cq9YB;pzt-6g6AbC2IxFb)tQPZL|d&zfS1;?ukB5Wz{dy&Xpe;`kTTgGe0r zr6?o~r6;8$+%Cv37%EqNggsJZ+_&MvoH>R0n7>G%Ry>=XKAqh* zE1H^G=w?6u=rbREhMtjmep5(~{#4pyw-U^mgLCxrH9Yv?ZHzpC1B^Vtqa;MWmW9<+lU_V$>a^cj6{ zdE7}}fqB((s&lKV)?vynQL!E>G-*IgmvlvGMCeBq6Gr1`M71qf&52PNjTdQBs0Fey zB0)*E%@PdviP2y};X#HfK#GMdn4lj~y?z4u(8!N+IkgG{J>p(&Sfa;`npb_AG{;>5 zOVoZ&>Os^xS;*=Zvjb>O1fPdR_0c3kXfn$rj<2Q9q1j4j$YH^SmJA$K|EwevIw-x4BhFgsU9#gpkl zPRE2RIn8gal3naE`oa!y!1GG%G)5-j2p-|kx>hD))MyZ6<$Y;%rlSL`ELB-(ll`xiZvsh2bOkrpk z@@6aq3dsf1O(x_0;Q?`gMf3gr{e2uGv24i3RAvjHQ{&{EjYt16+|5yss>aYVvb@r9XdQri;vlO-WbIs@%TX8i6%J1 z*YRLFLFTy4201usmPyA4(qZCxBpVLcDUj@GjMo&>!j>gMI=_#7mNqol(i^`4~z4r)tmHD4V)saRpFp;x% z0~s(2a^Jr0`532Fmj~2aPpUb%K?|EUC6kjUch9dSSLf|uzUI@|MK*u_vSq=Xv?}rb z{g|B#d2o(yNms2JT;enzqxr;G>1q+>G=14=RpO40Ws68&IY(D1j=}?5olJbQc5!52 z07GME%wxjb-A>HijUc3MS@Fn&eE!xcEZ2vyZ3??x`O<8N<(CVhoiSQJndY6|d+)td zyLa!VxwCBlezZW3FiZ=*Vn ziA~XziSz(v7yE2JKWJXYYn^;> zv>2u8ozT%wi+<~D8i9HU81;nJWb*lGHA#y+yTyDhWotBdqa~xr6d0zLjgaLtcRh4z z728)^KKV3RwzOB9?_=R_>HdH^R|Jm^Dn%a;V}bSf*(lXwvt`gjeIk0DgGh!nE3P?e z`qEVDp{ahbYGihEC2MVsfJWezhJgJ_b4dF$5eV?s3RU-qYK0@S+Uzx~WL4y}y-QS; zu%y{2MoTQUNb0&+D{Rs1*knV^yymGo2C5q~k?_>_LG}42(!&WayM+$x$bD90sk%9= zy3$v+aCGRkwC90&-!0jYpsLzw{rXT|IrWb=(ygU2IvC5nXfcIJ&njtKp<4I^ z^eU6BTwS>|qiVku6P1oM#ZkLE0Yx|E=fPJV1tO!rs;O!@_`9u29IFFL*=suNDZPcz zWL~G`oJ|&_)ina+hCtS{EJCb0;L5cGnk|oVMy+OZk$!N*+lmb@k6LY@DHFkwZ&R6r z$}M>iFj8$D@_@=XCnw&6@*S)`>@4Y6rD%;p@w~7hHKG z5By!1pw-GMlWz-1@0xr1Sj~}Od5wInE^lmwG}6q*HiuvJDNI73H)RM&WNYIal;^zM zDl9W55;TUTuX0ZuWl(hAh>Q32Y;lRPMx3uwF>oIM3WS~-%~k5ZGC1XRU!Ia4=4v{s zv{hJBabg5DPnqN54wqIH76-R*QMOmKuSJi`j=l4QyWqxXYdc$M<(D!f-H z3!kl^>T5uDrM#GFw2K>Xv>9G$KftM{F_QcNb5)XM9UJUj#-~#zqn?aF&2eHWI2J5evSy` zs(~?Y45su54XH=l@h5vMi%_7^Dp_zJP^W4v`({wFT&=rN>4St%XjKYFz@f#MT(Lq^ zEoCYJ%W;WS=Bw$@wZO$v_|;^@vxLCAVu-l>%$2BV)V77?+R+ZF4anAjNSQ_=%d*mX zakgxkJY=D1T6VDn1+FNoa=ePNYEix8t0Ba^(rQgiDpiXd%0oC5zd$Y|;HK%M!yysv zMYMe(QgX@@1)H8lW`ChY^S*5f~ zOvdaIM`-xcF2ogbFqK6iC!KnP`lK&yft-Anm%b#1BXGbmiCzVc{f@W?@4&cisRk$+_xPEiA2$_)1wErdM_B{?$E;*0gk&g1(EVps7YbNbF# zTzp9km5(!yOJ`3zkFRd}H3o_r_}VrL+4*oSIlhz5tor3EY2_Egq(t$neN(JlLuuCf z7MUtZht&{h^c}F#e<`e5seWGL?|e1VXe9TS)>=G`Z1k%jx+>GbQbDu`Xt;tqUJPEv zFvm%(8o!Ea%PgFzMoQGrYn`e?DbN>2DW-mqR+BC1~g%$dV1vl_{|$ZQ5f&fG)?aL{2117NoGH ztzA18K9fVm(k~~pJSRo`plopcsz)Q6#Aekq5tN}SnCaV3y7tog^CiNEb&J)7s`M(R zBoD?i#fL6wOWhvG;oH@uC*k!zM257dVbSN1q-oLCgBD0nNw zk@2`0X480{{yd_QB7q_`e0V8^u@3`nfujWajX#C*Yyj)J3Ph^yDSFTW-^SXffjk?; zSBd)>v#MU7us(GHpB*0A;n0$EF?3%CSSCled$8y=4;pdcS;WLb)#FBku_&56eeqo^ z37+#6L^XpZI^NX~v5nY3EayKL2q&oWMdLs?z72uC0+!&MV_|r@<18&;kiUD|!DG^32)!$RpFcZoGNo_GQk_j*jVF;(KHh9{LOyQ(CE0zYZ)hc1GFVXZ0DCdW8{2e}ol-m#Pd;_u%R%eOQ~byT zrDKs^=gg;7-?893V^i;ytK$3Zl6>e{_ZdHLY~$;kP-ye!&2>Boh1jN1cp%11sWPXn zvXl)CyNsg}reIt6w5Y|5imSKMY9-`#GnIZ(ko zTwVgEOlgb~iGUqhTF|GURv?ovX?byP-;3impNd~2fpHtUAgVl?xlA=q-+Y!9Jc(VI zzh#cRJ7tOdF1GUPV5OtQVwV5d;6iasH=L1C`c)^A^OC4mJ1S|k7Nd@*{IoiCg^9$L zkihr^r2_l}ps05{&!TLg>OLxNrYJ{|J~Ft{fCY#mw4q^g!rOl#Z$oS77Zys|J|m-x zh6C9xUs}Wold$XK9?U3Lg&8U`wqd_JkY#r9_^U8(V3>&N5Tz>@f{0aQYKqa1Z*^f| zC|)a5Jf5KMxrx!bBb4mg%C(HtHz+^@W(LDx#z?>X>cfg^Pjyd~HMi`vqtfl2lkb+lVC`-{<0k1GsBbgW~aM zBCcu)F&lCEjTyxU2Jq3c+73AR1nwuWkV6ZZ@zOWS$IJnkPN0R=_5fcJ%NjX49O?UR z#ab9U2blZsW7n~bazZ2!T!q=#v**} zc&v82WGBg{j=+OWb7hVtv*b}l3{6kGLF=#+aYl@=(s#NmR9j>0i4<5e z*-8+m}sTV1m1N+FDtYuF9+%e5OQk4!uceczE4 z{3_C#FpR7cNEkGs$6R#<{*uzT#A@vQI6h{ou^XNvHTd;dpz;A-UMo6iihPDED@l4 z>_r;Wa*9^VBfj!@xuixaD*2fj;_+hp{2Z?$@P3hJx;Xh{{EDh!8L#YFES4>z8*|Mp z%cY9x8rjB>9mR7CdWxk8(XzDSk84N|PXYx5(*f}qCu4>;8C=+@0LDU79HyV!MY`di=wV{T&@EJ4-WTX{Ib=!w1oW7_%bGMt`_SNNdsvOb7x- z)ly?+Tw0MQX3O`w$^>JQ7|Df*`cWLN@-Zo~nh}XZbu_B!k3COf9!od0+*qTAiEs_R z!99Tt!#Fot;LwlGOllZ`I3{I{3&kwYEbOB=H6u1xSs1TmjS0uAd1<~*A($r)A7gbi zT6M>R))M*4uLxx+Q%q0eV^|ZiNPNKr2U#|q>?tqI}l=r_evfMw&# z7{yQBR>YoHErbvmul4DGf@lrg42!v#s{Q*Nal}po2jL$1+ZvVCY|(_pEKvb8H(VTk z%7vnaiiEk035OMPv|%Qn8GsGTz|^}`9b*{fqcO0I2<w|$o8g<>bVB`k?qC)wi#s(fb5ztonseAT(Qq=&lu=(d3jji) zJZ3*a&oFA%Fum~E7j?7rawQ&wQ_YPLWwSlKK!jsdJek3p z!wz{6ikMNnUR=vE@b6{Z;gF*eaPMc#C~qe6Q69yc&2eVcdDOt;1LC4E$OdrmjA12U z8K+Et&O`-dYF2>QTi2jT!dO#?4Y+BBW;vFG_gV$`Jq?UPPnNN%gPkMv>!~*(>s->A z?B2b5_ls@ImOcG6cC;p8--l`1Y@x2FHM7?gNDsQZUu;{n=;^1KtmvxR%7cm*PpfK= zoS6i5&ws}|rcGP7Zr{Gmn``Hk^M)~{wc(Ll+eS6Xk|hNuJ#d`KlPAK1YF>>SuX-=B zL2=Y=7!lR7K4Js4O5wExt?;c@GWiZYwU_8hqhr-9rI5jAtVVWPMORLVEh-d2r1GT? z@+Hio#wa4_nu72)k(IzWfx|`oKy-gmk=k#S9@Ef*HMyv##VlshzdeEiXszK^$VTsu zdU(x}&0LYi@iNAnHBi(y1hQ5!T^UJLvcQRo?o(@f?HSAl4WrKe6h><>B|5Z!vTgWV zLfuil-%^utSrRgF`k5A-0LiV01~#rZO4QL1I9>v}wUS&MjtR7*01A}BF4-pJ<&OlF zRNKo+-Et1S&0VWLQ(WpssyG+S6Ja?h2e~qToozk_oFl0!r?R}uAj)KneAZ${wls33 zfnsrSbqp(M^zK`Yu1;Z9MNz76&anwnKfUD&isyT+SX*gK?CqqGkz6zw5ovA1VE}); zGB;Q_1~^Z!TrS2jhAxq>N}wf>7a_%)CK_?$@pi?bNoF%f7LrWH${4A9zt1$)11O8Y zMHn$G@AQO3(&SE9j3bJuzWe4+aCee=q(s`vIorMb-{L##L(JD+=$(s#1WuS_v zARc2W9*JgIYQSP4TKTpragVFQs!Da4F*aCT4z~o>`Dire3}6WFBVHr!V>c5`0LLoi zVmR!EGc0eQHu_6L_$zX2hyuVdSiynyTJtoJQy4N;~IVeRX947%p|w zfSkSnS?Ju4=dF?oh2v(bcy|Zu?(TSGXs+{ER`FU1cg|U`pfX=~_wMeMD~E?yuEe;U z`8{~qVS|~P|1lSccXXnnHodw)ND6A~(+#jMcOStMbt@Ida@Tn)6kJo{jcqK9`vOarEyHw_`D1Ii8Ec!^ zi3+D@W$#wUuKzXynj_G9p*b!87n?TB611kBY2O34}2#w@7O;k;~F;ug>Y& zJLx+3MQZi=Agdts1Mx>>;jb3)k|2jDeip{6_z_|LGI82uWgrF*P-#t&7$;+BCck); zDDH5?8>`#G7H{K*a#lWljaXq@eWNyEq0Ayu^7-^gU2vr$&y{6Rqj$77(Y_F-EYk;* zm1c~GZ3Z9c&$h!Bg|z?0>M64rNXSFaV2P0781&7$6rKd+oDRPbe-Vw3RLl>zr~ ziqXnHSk@=sZ`v8aQJpwo#jl7h#nZ6_4|z34X^%(`6P&BH263c70R3D zK)#kgQ0pv0R)e3DW5D}L zO<6{{gSc&AV_+CDT?wx@Vw8nLU+oR4Mcj-FhZ_-x0zpbASlSOaYDW9wdBmy`v^Zq| z33&>+tY|bW*x*P%NyNop<7e+2?U$_4^K@8`;84g7@LpjZ8(T#vB%9dAxy6t}#09UP z0dv&21BXJtO|yyYU`E`)fR83>*9w^~-MGoHG`6uYEyABQ z=uniGOe8bZUa|&`*r1uJ=^n}T0ycuNIaD2=DV5X55=&MQzpBiyNKf#Fd~3}VHYJBQ zZ^i@L3R=JZzWa!|Ze8xh;Y}Dm7XJEIN-x(n?I%3*z0IGl7AFrdRxOEy z2Y6syK{RM3=G?jD0ZSGznV-^29+4gt3c>l?Lu}ED4IN<1CgZNeBZcCMKb^?}p6=q& zVg)7l?-w4t_+ndI0V+u_NU8IYZ;CaB?>QA?ZjZhRqEv_X3|{f8h2#P2z@JB*Nk$&1 zq%XV|L`m|1LFL|co(J=l2c>m`Zh;3wL%`R?^!#N2j6Ix>`xIS~Y zu!Z9UeFXfNOtTMnn^@x+yS5h1SJ(uC2Xr&42@h`Bbjzl72i6^^ZF^*rbp?mzzR3#7&cc!c-KrWft$KdZ zzQRjXgFz~_%%G%Ny5s>{f*VP~gDOU=WE~z}_54e_v2I@lHRAy;cXT4HLl&by|H;~{ zrX8{D5}Sq%;Zm}0j8~J&W2Bly@T%s?`fCGMDlxIPriogD1|=g~EsdCGvLr9XYL%w= zeoYw{J#t&gWvRp?snnngVJTl2J?7GQGA%OFw`Q9m&wlmxC%DQ3$F9_Q6w=E7pQ9_731*TLho1P%3%+4BF0#*xU;#^enAt&Z7#ay;)549 z%9KQ-2yvG6(nSMEljrbJc66+a6jCIcL5>v9;fK(|#e{lww)he7zFaw;2D=7XnEq^$k-#5# zVY2JV1G!<{0n0+QnLx8o6c{)1^!*p=>87blZDw0>*47r!1#*`sQLw>dj;1JQBE808wic=Xz?-=M%nw%iAd($kH&M|Z=MY{TiECOzjI*t)dP+A&N zcZDRUv~>`LT855*5Xzu0!r&{Ume+u({!DzSN<5mDcOpu8WlZdg$F#k$$g|Xu<_W3> zO51=G{s1O$2rLhY zt~tIKOAlb97&BwEm5XQNjC85nj+(*Il0oPi*>tYjGjzy61Kc!C8gcUwjCKv~7QXJf02@@+j2-&i?XiVO(0l7mFwthBn;eP%=pPvQYxX zd+bt3w-X)KA4OAxychdKMm$3Uw2#m=X&Q1H4-e)rLp_cI+h&7gLYU#q$%M=dH{mE& z;uSo|DhRItfLy`P`9cZ2o1+jPlI^A9*5mh72wsY3 zHt{G#HQ?Pgo2621GI!N2kRC`eOZ??L4UXKX0I`lUg{e|bh(LfJ^&93UM>WOU!qKSH zW{1-#&4HAIi%+4naXdLj)z~ar8U#ZeAl!#QYvdkRg)Z6w-TvUrWESM5Or#-ym<~@x z;l(oCdy2Q=6)wyZcR2Q4kPM^1GldM^0qV&Dfsq!lEBHm2C!I8XcnNqyE{OI9rO{ZK z4#-GqEyO^6cabzSSVneQ1%z?#xsXN!^54O z$)pz!C6ON^VT7bxuL{UsJr9&zmCx91@RU+F7yeq9bn~H~D-`bgZ8ZWJ<@2ijmv3J-}eNqqYb3hd6GiUuW9C=abm+ z2veLfOQaPa;Wgf&B}(>2=lmlK<9b{GY1Dp9%(OquWr%~HSxB$o?e4J=ldV|vw&3_* z+L7DNX`Rz(tnBAe9CvDx#P6KnIlr2`q`&{({r4`x5m6|7Yty=Q2g*n9bTfdgfl4{b zW6}e8=g07gCL-rBfl+#7giG8ADNKi7fQZBKzA=T(kSbM$>0)LT zQ<5+wDoz7PaRtOxFlEUsHUbOh3X({2Rive`RKcZ-Tms!sKVjrXV250D(2STi{xWD8 z33Pojc!bEzLBDdN!zAfRM`>rljpm~lBrTlaQ&+2k5kvwPh_3)AP1+P zL9-rA8ypmgn88vg7ZW*=lUTU~L^TLw3XqtZ3$IH)2zFL%u_+m-^aRCWKSM$%k&|C_ zg%`aUl$;4AY~X`TwlJkoq|g<&9~2T&f~_bjS0*PJafqZIeUVIAKrt2)Il6-fxs!FM zPJm1p+h{l^?qzZ@#DRQV2#;MYcnD8Caz*9j#83k)!wBd4B3F~*_GIR;OR7tL}S zErY-T`YdX;CF~IECDu5R4ZA>G630LhH#N=v1g@C4fx_Vl+5`)wrF=ZL)LLm3xtuWW zvR;=ihAuVFzL?>rFwH%OF+RBCMP??9L1c~qr*`V1_#B13sDm6PS0g@~)=C`F7^=((Qy5dt(|!c7dCeJ;jM1;dxy02jj-R2%EE)nAcL4{SCxv&VN1j*zF zmhc1PSqrKp71GRwHEaAHBtPKphYtsre8*nl$8TZ7xB+l*9Z)TkO0DhzUC8_-J73hb zloULPDqaKVRbFyVq8|HDaVvxVHQE!law?tlOJ6-qDYo% zmOK=-C&r9Xn(1GZlbHOIrw28vq}JhCD3%;zPA);KM?p7~>&4?WdgvlsnS&M+6Rk#= zYk3nqN%;+>S*}bRVHPzCOb z%clns@|cu|l{^;8lYUWd(+RW8LM)n(_%$FTdDM|5*s*?PVPG(i66juy!SY#5kg*Nc z7Y=9g{UKUV&W>9BEH4gx$nog7D94PVl3ccIp9R;=+3ab z)54CV(hPf%x9Pz+!W15vyOc(PLTc)Wm*j4xTxn#WD=pyYue5-M1#)eafYv4CA<_qv zvjk?L(-=CDPw_B7b&#ghCGi7t9G^jXKm!)?)huwEO6X1Twl-zKmL=rT+Oh+2JMXak zKtALmF$>bf*Id11TSmci^ z*nrx`QhK&w<|BC{Zx6aIV8Rk~nYfJuCm?r=@-`s{N&$_cg_zWk7EEK%Hqve?&l2`v zOq39gT74*ia0Z>Vpyx1}4PFWrUxoGMV_`v-^xE8BepS8we)5h+a>g2IG?FiW5Qn9t zZWSp`5qCc{sgazqMj9*rP%L2{_loeBph_L5P+Y!i*p}D$8p82sheuaL5!TU}8BuP9 zqC!ljssByAPQ@itlp>-nJtdMoQOU)X0_2Kq#*0y?dRrpeU?Z*9QwsJvDTnO0QZDM1 z%8<5FR%X*nsQq9pM~Xs|{FnPfdm$Ck0~LEzW<%sq7IM(cfRhtkY;b9mafOZRVIr=| zO6zYplzTsRJ6MUmpX0PrkVQ2vtAkTkQ)DtAw`jID)in|NITqD&$$|?`4iaiF zM6xZ37GSxMNxL~nTp>dwKyp8%MJ1wC`FVgwB(N9N%jrTmdWd9Lc+Sc@}=>F%aM_$pk$@qBo z1IJBEw*A_}*G~F`bJOree8%EQxt?!yf9(3B zkDT;a{(JnATaW%#yO|$ySKl@BruMhwA7z(4FzfvG3-Z6QKlS+RH?)7D=jm0S-ah+} z?GHLH+Xttf5E&( zpPl;pD9;zP9li$8zz`iYZ>}8WLy8o-)w@2P|!sHtk{6F>$ z_NM11Z@d4l?f?G5-))|}$8@)BdHT4I9P&o<$E&{m#PM%DWXXaj?C(Bw{EkD?=I@8U zfA`;i^^k9y&*kp9_03BU*>V3%i|)&IAJ!2&t)1P!ru!!yrw$#K-MW0ee~(KUNq$my=Qm-?12-zru^e+|CIZA z?!=!@;X@ya{c_FQuAOqnX_u_}&7zZ>DZd`N(*5n6llB}M4fVx#>^=D#hrTV8UG&sL zZ(nxkheBV@?!4h0haLL4p?`Cqx!@gJ551@N&fz~F_YZ3h{bT5dvFBb$9@}|D??cw} zcPD?{=?wj>`^B}Ve5Ui`Lr-?^O`iG>oi`5sv3uYCQ=jeJ+WUfa;O_Z1cJ4Z@V6av8 z!r06QqB}x!?H6Nbg{S7*e&c*CcIoL|J&|h{oEy98^fx(&Bp2+9J$(9GcTIWvwA*4Y zgy#>&u0Q>}`0Vt%oWql+|22Nr1Mk^!#P&137QZySY{hhb=DXrw4S!_E(bk#I$G3$q zTQTdNg*V2BAGkViEj?@gVKYwePrPpUtp7RewDjj!zajn3YYw~kfv@K0PJP$kANGX@ zZa3a^>$@I1?B4XZ<{jVte=a+0=jrz>e)HXDA9;A^0}rk~A$j)Qho2mNwCAnvIZF>; z9{yF&N%lE=56^_3>UsM;=YHw%Z$9w9E0QOlcjDo{IDM~k>X!3c7i@!eg(!MU|tc&06O#RokxjWwV_`82S)ji{c)#sf2kC#pT zLtoN3?}qnu9MREtM$h6G-gC>n?s)&%@7;UEwlgk^fAEHX`pgl}Ze8ardur*dBV%W5SaJT`rFR_p)~%mgeZj^5 zJpah0TW?ssa`Qhwa^%{+!4(%h{k{(#nLA_C;*VMHd-lkot=~?3{5|i#^2nWSKiKt& z%m>=0O>TQ&aP?gu$WA+M>rWP6y8VMQrk!`jf9<*=^1)lDt?qlWXU*JyIeyybx9&7P zeb&F+HSIfn&-JXmVA(%R`^6c1c3i!7S$^8y4Qw92e)*Zxk9siDle%^Je@uVJgRy*i z%ZhWRzkkE@9UJah@yPV69!wY+_xwfEzcT6A_%#ol|Jd|3mMVT4Lo_THJmbDk{pK<1-&o931^p9LJ__jL@!bc%xq9ZHdKcWwFjnMW_3^ou*c`<{>Aar7rAJ(>94@Fhnc{h0@!8u)(Z zlC?+QcIGoXelYWr9Y^20Vb{ESwtV9BqknPco)z~dKk@aWhbJBAx$l8h(V3Bjp~3uF zt3Eo@+87bWz|+jhk2t#_~Pn|WdT(ayHxR{w71)$P`@f zDKoF%_Qt{gV4wWb%x^3_&iTgO9Ae z^o3dGMrYTfk6!l9S<^NyocEvBWnY!FR8I{!WWQ%h(4YU8K!PoDg#+^j!sT8EzidU+uq+`ekf@v}QO_8B|U zYd$&K+Sc#|$%r?m7~ej$Q8BwOxsA56^pk&Du>}$1nV`vAcWi zFS<_N_|wOBZ(kScI&I-&Juh6l?)a{A+aFK7*uCz(T}v1K{LU92U$?&N{Ptfa{<3P_ zZCxMR_UoQKk##@ny1f0rckKDfy5X*?7jBR5oqP4+T^rkf=j^@f>Jz&@xA3VIe?9r? z_jY}0;~!T1_3o>$=(>5~(~I|YUwwVozioSF-oD$fzO(C&_Gfp!H2vzIbbY7&&v(9* zzWV8|A8dSX@k@VNZ*<+?KD=Z9qV+Sn9%_Gn@&3*0=XL#L-{@cM;aKWl$6eqibP z_jf(f{+GoEZeRb2uHS6i({o^WeNWepg?k5HK6(9hUH`N3uY)hISwGnI$A$X_Uf!~P zOV^*ay|nt}r`P|mYq)*?yu$SL4|nZu-@mJH`ufMaUfgzIpm6c}C%g8xznmy!*Z-kw zU;E30h0W{#-1XA7f>C&A{qC*uwL%uaui11h zyCdZ{Tb{2z%i6(6%M0djw)`>IuU~zY(oxpenEU@X?oY5?RaQuSPB4Ek9juR_T%}dJ z4Tiz|g2K)GmM|g$XA% zi>>Z{op~sm=3#PWJ&$j?F=D*%(J l9rxkLnT%gZ9d%y1t$PP6bmv)5x5=hFJ`>z2N~2o%{{bJ@!94%~ literal 341436 zcmeFaeT*%~b>LV1_1O3AaHp?9MFg_8s|5mnaI(;7xgzYvcwaRMV-;Y%o(*=A{2?qK zVc-D{2+$T*fv_gUdVTN3-Z8N99S1g|z=8V$`9u5Sn1u0t z+OUKr5Y3t)WleQ)Ir%ruzov!Yx*=!Z=e|G=w&Qv|7 z)kmh0{Ydds=|^7Z{l6di{a9uHW57SZC*i$5p%`_am@I_TVW zm7IpZD&2ZIrEH7p+>;a?X54w+cdx90`U)v?m69ssC$2!Ia_?!c5_b{k(qDx3befCi zw%~9L!{LJ2Ujg6s=yACq<#J%7zi4YeT8d3oLMS7qm}4(qJCas84)etCd;Y3uz*o+q z%YXmm`u@)6zJKL@Kj-%~@V*8X8ZfQ5bKBrYTK9eD2SWq4EoSGwr={*p+|GSZGjB6X z+Zk5pZjfONu6B5%G!Fw#oBtYUw4*!gaz4dbsU^)KhPUDch? z3s`p@SkZZZ9MRNGKN9te7qF!Xg9$OR@_=WK^g$q+u44hdMxo z8dc^l^;E7UxrfG>5WhzYb|Yx8A*qMX-AJ-Pw(1P3kXEFVkPNDcnI=IXc>-js;z(&l z8w;aJW>hs+zRxVUEc-~<*1?#$hJ;Q+x(PoLeH|DoVPt!~Nf?v2?*dj?CyTYV2T=k^ z58Bh9Aj0y~&XwyX5UMrMYAOkfaatv|uZmu#sqe_9R(p=%KMWLJ(evYC#lB zy#+-Os-fK01OinDC0#R?fN}L&C=)<;A@EEtL`vC9b?+XuT%21tuOQsNYZMaS(Vflq zIp2o}~G*|%qR#QpAfn?~I0 zhs_e~?CgAE$4Q{Rt?o#!FTZSzxPQ~&nP;|V&%E=@J1@StJ$vre=U(l~cju+uUGcy3 z&erkv?C#x{UfMeTu^;>T*Sq-ZbDw!e9X)g7nHw*@sE*9b=H-raC&7&yNTBZCy>n;l z_^GGV=!zUkX%JDfJURmp$*ku=i7Sa#p^Hx~V?E2nvVK zB#$$StiucHvHI~Qg6}KLQCt$;7PWw0nHpmHp_xZ-vW|AOHC5sD5yKLO07a*WjJ-$Mv$^Uf}XS+*N$71ep zi;=DsC$`Ms1tbHYof2D3m5pqnMBs{Fs>Jx5a|3f0kV+CR17YS!Zlh*z;W!5WFnXriiA1TdmK#7e1uY5R4XpmeU0t5G^l@fDKN!62vu8`g=z z@G)6WgVa7JWZw*~L@ugOj3uS(+o>%llA)ZbY07xB!cseE8(G*V9^sH5FbtF~TyBhq0;pH0oeNuENQ23*Z*0uqyN@ zEM3_GpGyNpl-7=S-Ka@Js%dP(TE(hzgf8l|OJ2~_N-1K)MN_uS*4C=2bspj_!URT9Vk1yi$^SXPI3(n@XA_l$(I{lDqAiV} z)Q6}AzKN1@BsS-o9*$JrAM^%N?iM7YfdwHJyH<8=Q|h77L`R5fanA-(ZHC2Gt278` zQAPzpiWMJ`v2@0=t%Oi|O*tOoX1(}e!8DCi9>Iu6wT4$64h@QHv?Lw!2xdXK>J#Py zVHl|KMCkxOttJTR0_lW;$1&X_bk*3lctKkHu(E$B78n2a72#iT; zozGvLck^X1)oFpgiZnMp7&o>whstOY8mp|z86}FIP70%Yh3aLE&g;+`87p$nu^C19 zNSyoZ3+?-_HP(8Hv#)hgxKaj%&;_cA86i{{$Y_(%5Q0+Bz&LOOO_8N34^%|m9-3Mj zkq=g&0`XEyHN%9O7y@TQ(OT~Xw)H)A=Vlj1Erc1N!3&2DKg=EerqF}tcjv})_wIe@ zSs@au{pKC@+&!fwcrkeQ-L2y%_FtdR!mHPA-!31#KD$5LJ*tn(*Vnnc{(60UbNk&n zJ@NZ{dun@o`{)Qezr`0{DQ~H=y!GWTKlRi)hxPEyn_I`Kxc_E{uU^~PQN`{n_tjBrKWk>Q zFNce`qa$SAR@hzJm=xRFHzvhD+S)l%$<3p;<+q^R?AzUybPGTN7dTsjZ!&5u;G_gm zeY~?*sXh5E=r;SR7nHM!=Qi^T%GuDBQn%F>X>gK@x&Wj>gLf22^Q^ef1i52m;|v1dHeSBd-d@HC-LrWZyOK3 zI6w!xyTWyMdw+HW2<_)T?@P8jJDPp(3tcIne;zH+dxb(^wtf4yQ25Y!SEF`zp5Ifu z(QQW=)epqAU$E$4E`hrB<}KG-KTqWf?5ZPTzXy$gNT9ADLD!vfcSpxp$}Yj?MIy2u?-u42b3K`8># z0HneqxiJIX75qe0C-H6~BasfGwXj1j9x}94IFnebG|Wn8lkvnLqZa&XUZ$c-YDLPZ zVHaU9Nv(96Pg#QzKHkJP;6(%(J}(E^B&~YXRip}?k9$>5h3No6dI!uv^&s@pC{-C| zv*akN!6JjKK44>NkD0itaMGEx^Y7$eqGDl12dZRR8kCWVjG6=)e@uk9y5|6>nY;-_ zOrlpn>`1_C0U;*y$bW6W16;&&$W)+KqJEnQiilsiIb))lAQrzn2m^524EgW|j&D{X;R>)F7b-8=10?vmg&t zU&L>yh-M)7gP>1wo+cV@+CwJe_JvV1&VXpA*t!7`6#2Fsp&&3XGx*?VbyDc%datIg%6kh zj;}EUXyr|b+froIm|z%4s+IyyO*d#r)M#K5VwzDC)lEUl11K6=uZ^HR8}dme?;zyd z5u4tDO47cb3`yTKy3BZRWGJuU(T$<&g^lW&BCHL0hEqK-bx>0YREA+VF@bKvCTL7i z)&_jps|rtXwgf)*W6zF%NNnPdJzKVJhwt2bExvO*ymu8TyO_>hr|2l(cTZ~IlmF75 zM0S|qpa1qJf2j*>a{u_Zl{%kdQ_2PI&L6uTC5VrFtwoE$>Y)Cm8!!C%BHp&>Mf}g* zQ0mSf%qezS0%!7i4nlD@XnHX}B|o|ru5M>sf?0s3gK8!n5)UM`JSFl#*Ozgv1Jijf z>=vpzK`R5$2?*4jlG}j?g9BcE8t3XD!-g?cS`iOjTV+M#a?hx^cABs8%g=+G z9uOCFi1XaC$B1T1xR!YqjU@*i$77i{wpB4!!`!nQ$#9&eO80Utd~3OgB%;EhcwO?L zj&t;O3S_2QVg>ZG?upL#`N?PO@ zPL!GnJs>vlr7}=;GSoFoi&{?%S#g6z@46l~)cep<*BLFv$xu7Cx_~HU{d*Z`OTJZ{ z6l7U&Ns^eJly*XyO%h~D68GaQ&L(DJjXD^OT~?Dm&)D4;z|>W(@<6ME2-j323zq@* zKFf6uoL$L^SJy5)_Kd4l`7l4!$U21zrY6j@5lbbtmN#xwV=ChKXDOzqXn?xSTBvD) zs7|{-;^@G1VgJ`4!dj3VTPBwOA)+WC3xDYy#Zf-+d&CgQI)LMIptNDTIfVrEdK@A0K#fG&E z)0<%v-Y`8jiuq)$Y+u%k5^F&yez_DTv$-W8?b5A*tCVc`ErSf?4a`|IBr*E23_+_T z%jDkQ2@|P$SW|7HRZKd0%}N5m{A(H_+BFmRPPgozp(&DanV5!58&2}h;si4OVCLKC{~;gb(>hFNW5 zl@1X`#1|B8$6e36Bvb(!Ge!u7gehjki2@Tmsnh+ zxHJSo-lmb;-2!UbGo347{av~49n3pfJAdeC1L}Y(6y2BMu)|*5{TM5D_dI#$Di>~7 z`|h~-J!ybG-mms8WgrwwLGj*e-`QP37bof3cv%RL-`%_374+`zi!aW$Uw!#GBcTpI zQ{Vo!gkE~_&WqwM;Hf8{WZm(z->#A$esY1msP8lhj?_sB?%WZsCnb3H-tX;BdTMet z2`(z>BcnqFZoLmz>OsJ*8{S>KB`LlaUp$&U`)QU|ckj+#dg-nxu7xN6k1BcRPVWY< zQfxW5i@2zJVF}cBD}n0u)Mpp$MS{C(a%cOEdPm$v%*A}H5^jH~P@nsN`tj}LAFI#t z#%;EaH=y?y)bq^^&T zU3*{BZ5N(VC*kk^!|zG|a9yTNyXq`zY!!b>C~n6~=kDyhos{M4tg(~*1_}1}cX!X0 zK-P^fC4swLnD(}T3*7oIzQJnVdKtI3@85UP>Kg#!v6AY;tJeoiqFDdmU&UT7be;sa zZoTQ>4tOLI$UIXi!#%(_v6t@J62VaFZ}<`rQ^C6~(A|EWR<*mXMz>VwI>tQ}h)FEB zCbL2xbJyE+M_nO$$Uq?J)Ar(~`en-zqfxO4h<4=Wr@1|cvD^dChA#ElRLil#pWCm8 zAQqpKClReWiP|`Euu-`#DhjiDDb{F|?L(#jVkXEqF-bFCx5LL=QNEBu zAb4l1O3)&ZFSThWhP>R=f)~zoL3o@FJxZ>LW_75Rw!rsols69&mqe_!WSEeHad8gm zFM7$}dF#&OToKX1T(Ax?cS~#%)M~3SUvOo?IJJCbI84bgj)!qq4O8hX`~@;o)bqrb z@VKhoOn(?pQt4zYRA9Z)DN}>xYRIPW?E~p2u6>aHL?wF!mGux1hnCuRB8EoF$2(zK zD;8JeO=MbvMkuLMc{CLGjOM!a!Ohodjy{__IULC+rA>dxySj(TC=qe6=QWx+h(_4$ zm{9?DOIlJ~#lG1od8(iL99p61IQ2Zs(>)@L)0nh34}34^YS?kqDxQ=&jx9HdD zFV;I@KQRGc&^#+uf}%#cmkNl#R)`Oc2%)rl^H+L=7XG#^a^4>qK@|O*S1=ai2&n4< zG1^0zNq<~|nzFmsN^b33J&3D}led8SY+5~AO5PqHAA@0^z^_n_*Pb6?fk&=rj&T8HkcXoT$HajPx zTpl^BO2B)vOARZ>m ztLy6K`PZlwZ(|?7d+gqjmGE^!SH&kKkX(*<=~+N*?5&$yH(lVGFP+VdB%LrW2ak8( zx3+I1^D;fX%Os~2_?UqA2Z%rhx_=l9d@ zp({3Mj%VEAZP1(`CL@#p@QrB^m?DP(8lgFryXRb-_X zt|BwHREBMTTu2ctychF{j#q%1HU%}_OG7Nxo{Ba8EY$s2y9$wIEI#CI(@4DtbgmD{ z)hxVM@rhiv9q=l7hDeST*M#Q>*a~j8j7PETCLyX#F4qI0EN)A~7p8TP2eRbPXp_5D z)VRE!T+ZU6<3eU*mIdaRh5o20A}#d1?wIEV>)h`FOyrAF)~sVB84PqoyTpj&Paf#X zOE`}jbf(E7XRytsp8HKkqnPC3L^^yme|Yr>#i)fh8EF+%eC|v6dEK;@dvwrv%boZ~ z3~@i#()goz5HlzsL1f)(_`q!$_K0&=QKPEfI34ruZErM6i#{@pdkD~|^q_7>ghwmH zNX6q)HRHo@!h^FzRr9R|6~Y_1g>f$;JlgCrh<`|O_*gD)*vcEXyt6A|dGpq}a{a-8 zosZo4ei!s7zMm3gOo$A3yAUmOlTW9RZZstF1kib}3wV0Q%~u9sxeJOEnxBJ!p;A1! z*9MZo*^o(eDN@+QBtT18z-Gp|bA$_B-(^X@K|A9}op!83E5MvlT4FjNd|iO8%EVj4uI6WyY;0P_rNv4yyef+EG(?yYagPcrDX05MDK&m3 zCjp)kuhK@Yor&Q6E7z_zR*;tuXqQB?lv#=K4bKh~HiL@^ij`SsYnF%G6c(kEBWKv^6s5gF{w3U0Kbwv+4_p+ zpNSr1=VMF>jFn#4iQ=a0q%x#>cxcUW7*(!>ay5+5HFFddmr=@M-)2~J&&}~y!ZO&n z1z4|KX%_>zC{y!7dqp>?%L%WyUsDV0L`-z&lQAJ?g68fLd4ig;9IRwl+sYCW>44jrqWZcM?xjFM;j3gGzmFEdYX;s%WNh*l%kn?NH{{$n(-x}nICOAAm`HHPk z0^a8y(nL+jW52NAiHiYseGsm&64pak^P25deMW|`HhfAlsj`W#44s7E^?_v=^qot1 zYI%@Fj8JZIwbRNVgK*iQr)%xdVOJM-XWJ^9Wyi-i-g)Vz)zz4bF}^U>1_>nX?p^l(L4vKV8}Hn? zbIlSwrnVOHt-m?jg|k9G?%t3s_I}E_izE;2>(-e(qutr|{+mZ{N@mB=N*9~c z&>|l^crAJ5m0j5k=^9~80=2z=>*&^80@>x3U8KOO-5vE765P7Advo_%SN#@m#CFB{ zt>1EMolXaWJ35jcsnlo6&y+qa*Xx5#5(sx+3of6Tm!JeBd~|f*p|9&w%^B7B#+KW^ z9ShEKr|jk~_?IB+{JQfzq{}xTj#Ycn%6Z((DQH=H41r7#P7@{;uL|Auw2MA1;w*e8 zKu5D4Ue3}B(NUi1nl`p`J7Uky>$=sr74-R+=`CiR=(Y7HC`^EdGsK9XcrZjkevW?0`t&_w%n=YCSQDcpWBCtU6ba}jTm#p6+Ku69z+-9 zsd%iA4BWbl%-km)jRobY-m5G9ihsZjgjdUTNn00W&>R?cQw`I8rqh7^X))YH&!_2{ zXMWcW?0z#y2ez78t3qCvX5Gu^gjTp2PQ!1ez7Up1-|ySf_GL3$+8@h++$_hZrMGfK{P)Cx=8 zIh$x74enkc*7>v8@ZL%vy6|aveeAS|3*-Oj1m*7W>)-o3`pGAcc4zmqfBJ8~bAsTJ zBX+S)XnaVZ9<>CgjP+YPTRT$B*=*Onn<@S+K-}vF#{Ug~1R0hrdbHugb@UjgevdIfhKfr+g1o&%wa z*X}wvmDW?88huU#$Bc+VHY#ItQm8Kk8^^=0)JxXvIx|UEt|M2%7cH@CXI;vw^PF&f z+Zq~_;M6d76eU)ai@AhqPOx4caq^klPBZO#psh13si|v z>!p;Z9`Yb1v@T`aN}PY`QaOLUgt?%X{n)a~3UQZl5Y-Z#k5o!(N-D^*`02b4f3Yo# zt_le1_BUd$7b!6A9xzb)=5&tAa>U|nL)^jNLD+|Xg!a)q{mvTl;v*&7{L`b z(Q?>s!7`_OyU>Ak9G;Dqla2S}t1xW;gT9E1qmb$PNmL1H_MT7u1I8r-jXMc8VIFlB4Rj5hWoz!tv9`AL!Rbzuq zr+7~A;K603`0)I^lmG13CG8(wttLq+YwD@`@=1RD`zq^0oL}wFT zvg5s-93TIKf1qY>Y<=Y`zomZb%I$3-)FlN_d}F-jC3xbA>ycm~i}%U&({#vG%k4&B z-a54l%55nSpA{cB1UcV9&Meh}oC8Dm)gWFZV~fm1VAba$GPyw@jBJ!4S0~n-j*)}?7e%Dx+P_~Nd3I9aCu8oZ#OTYBn$|g5u zUg9;Sqjwhrjq_-h13awcMEvQHFOvpJ!@*-rT1hGsny{5~yz)>bux%yCtVNyx#rzc7 zxhz!62|N>#fMr*Tvoa+bd&+LjNyj?&^euuEQ}Dnrd)% z)SQlb&_Z+)e7&B;J527>MzgDAS8cbz?Q?8*WuksXM*@5k?OcByTUy_tL*OwYTp!o5 zLzWe-d8$*cG4EoZ36#C%MoET3Y8}1isX?MuRPbgki?d^gT$mVW>4K0`dPmCkK$2I^ zw~nqi60#EEG~(1)Iy$)ohKsxtP)WQ9SgKr)Y;0+}Vgq#*i06EOd8u7Fwg2Xo9w2>y zwi$Cpxnd~D)l0+KnX>J>Dgrj!&IN1bqF5e{2YM)8gm*vjp9^8_$C98{6ZbZXq;~1u zhkZV@#AQ;;U17rRRRJe9`G}rlF`bU7eGYmeb40%|SnEl(4XqY`;qE8X0q1K`3tClG8bp(EkkGmK8mH~2Bsd2`^o`|Lb6#@A5nc6yJXm;_e6*Fk zjI#q!i@USCK^WS{beffpcyr<(j=|b3Wdol%iZy?9Vqg`omQ?f_(U{-4*bRtG6IdE3}rKTI^&exr;Q>l zplP5gX6yXCN~Gzq`(Ky%V(vXp#+o}>ETBa%5Nkf@T`jcey_;^e{>B>B`N7%lgPk3= zh`wLlbxtLo&6mr!QNg`%(iE|!QDyFkxBHg?f=@o+VkhE zHgEs_g(ZmiYHUmWY90M)w7vCsSz|Nb=xk zXQmg?=9|y`>CPuxneM;w(jw+^zFRD`ON#q^B7lFR1i|dTb$FgE=mmH4P4ms2PwsqD zFthzP-dMz(=hLvs8S42<1MR6>Cuv=fZ2W)d?f^pITWGBK$V-BxWK=fJdxc9aH+@oz zAZL6rM`Wtx-XNgmc7#x!jT?8fb{D&R@$?JK;%>9RS-h5aG2;MVu)up?o1<*f0@!&$ zt&iqL9)Nu)(1s!zcHszO$`}tt!I&=qT|335cK$dW)DX&7cLLbd&Seu|T;u)j>NO=^ zC=3%QEq(!^6!0m%zr7Cmrdk?;RBzz&!z%Wepl8LeL?>s#hIorr&?uc+ zHC031$uG-#3H%zk>Gmhv0d$oqatRoIRb16vGmcRb<8}#zdaleR-x7(VkeV^%=9bfp zoR%spO40-?^dhf2~%6i#z{<%~y&-F#{oPGQ63zMo!(1 z$$bLXkVDjHs2bdWpQ%`^~0t57=0Ba_J3Sg@HMeWfPe z@|QNLmSfUfP=*L@SuV87MdHtcIzZ2C{SO4ow1Go$->D~TI^ZH@43I<3Wp)rW!6ZBw z8j_gtA)RvSCo$D3mt~G;`0w5Gk$;H$LrZimT1mY7N!%WOaRui>Z2%!30(e9G?rF*I zViVkcY3Gi_&+h*2?~41O{{NCbt?IWv^r0XAVJuno79S#e82skoH(T$8o!wpb(>+rH zUY%|w_^et;pq{Sle!qL0{9z=xu&9rWP(J0}Y?t^fw_f~>q zBr$(lJ~8?Bof~|k{_}jLK4VuG;KAjW&}jf7!L!evR>a>p771>U6Yn{^qm)SSvp-u^ zB7r*MWGs>3zwacNFUX(9ZUa7hL2s*{Q2~2MeD=p=g;`f%&0B0n?in-hTV-FWJEtzBv2%Z0k=5+`M^z zq2$@l&V6nm+feK&Y|OU5qFaW$=k`7to_0l)W;PPRF#*Y z&J!fd=yiWB2rZXOf?lByRzj|a$SoKM?2?Ec^^n(s*}39-&dT#i#Ytpll=72aFD;A> z%QOyaish5n3NEG6Hs%?g-~2pPQ!CZeR+d^Z zy$MOKPC)0%g?0oFkDnveRJ`j*B+Z7j1O^{WwM2_JKvGR(8;^LLgm%VbYC}b47!6sh zhB=CHKcT!h7*$fIA^*#SVF2C&a7bv04aM5SM7d0v6uR9wY%jIw2C z>Vnz2H<(DV4hDpAdBANwQo@Lyj{9s$X9hV9K8(XS78A_@W&kf-Q~|AJEQmyn43ABm z&-bH*I00Y#Ic`Bn%H-D#!Ml)4j>i2GpCk1$76XLy$RyTOiF17WjdzQM(jg9<>?8D zA~#NyK^2s0BlGnPyGH`+_h6o_T%cKD*2u4cYcSkX@4TZHA{CeSEa=`EU!`Fmv{?PS2fP=K`L$?~9nmYVJpVjRVb+Cz zw&So=OsYSBew`be;mvk_jec%J^VYj^n2`@sRo15D|y&%7c&vh%~td2SPk&^&({0s?d z*AmtJ`y#>5Iz@O1RODL2oY`ePvT(%nSw*MT(y_H;0M7eaXwfvr+J|JXQ|KkuQ6yu+ zEoDbx1+xUMstNmHdnL+Ic?^0XpTJ?}7ny+ZP2D^!p2g@-w~===SJFrd?lP+otg%>) z^*1BcHVeBRd2SdnE)8}qg_TLVuVE!EWKjZl=buwAhbqxcJa%yle5alT#wQm3%V3rR z_*qv&1D0f5hZc-X5xO_YbE-SiG8^XS)_g`S!xN?V0;w22D-f@Q2rSCj#@HYYb1~}- zKb^~@(2+qn!J{QavPwue@zwa*zO0UWVVT3=laNpV#^XH&1#?Ju@$s}A9};4LG{kBG zS0lcB5+Uonl`5G73&+ZZOYH>{yHV^wp_gXZ@s+UrNvwbmJDZD;;Dx`WF%o?$EcE4l zMs!fchO3zAA#Mm84;t-^TYu}g8J_bC(P~K0CoztgQVx)jew2u`GEuG5vR@`uQ6qPi zRZjjIV%EfENe`C`SIMX3C+TYE6TC02=&*}h#0$o!lK2Ji-oX(31QBFIWdSDaJqd?N z;GQEecl2*jC)A=qE|r0X_$`V?;9QW(TZ5YLm>uP7q{9loSn6?~}qTxuUW>6%ypXxzW_eMp;!M26q8>7iwjVPG-+Qn<7f`9-Q&Du97tv9UkMgwIA zI#Eq2^-O%h)dXr(4@|=Yx6xo?rh3}Ody2qQ#DQrzbzV4BjsgbD93;Nyq_ttoeQAXa!l)2e6W>zwa`8eTL+XM;ny-=YdOUdHAn{h^ zP2*O|%t1w0K-Wz}Codhojh|KtgFsXBu7``)ipPq%sHWpE0wok32ZBP;bnzAWISy?O zW**-(wiv{^pamV&O_Vi-wRS}9f|utc#3!Sv!#ATQI+({J3Gq-mih-v{ny6Y(I1ZJI z*K-a_@ty;p%m;>ZnFoGVztcdne@bb7o{o=tIHywB$c z_4>(QI;-S={M+ZnD|H^ldGU9Cgr4W&BewO3J>;PNxf^%>U>?8Ip_k*8I*;PKc;PyB zto_yBz_r747eaC$#i3hpSpuH)$)KW_P#5&JH&;)s9S48)7@046D4ZjqIN&0`>w)Xz zbUpH%&Sgbcj?7n9m*Ql67t^_&GQ02v+!`90nK|HgAhld4Okj1WE90=;49N!``s%jt z^<22`araurzaz;e^fTk#xin?JYo*4H`k0SdQxBa}Ye#V%a2 zP^84(<`84J;s#vNKUhU#{@H<%yQJKjTe!S(O_y}dU9ZT~=ty=()Ed((1v?#-O3Q>< z#Vk2FV*rg0)i4n>fKun++Q>YFr*eVXT`zZ$8kDWDcrUaPWeaPJ=D_ic)X?c^=H zHFRyQlMsk$mRV79lc9t=hV0A@OI~YcjLmRW^YGOn2E2C?9-fP|86}fImrZ?aminnn4 zm`o;&YU*&5CaeIBPyj&lvf@5s$f`*Ym)r*p&y8Hp6XlTjkO zY%?6N;K&R>C3USTR6$&g?XH_a2j&#Ys?KQ|ej5 zLv?R-T9WI;vu@!Q_GC}xr=EQ9^{3U=ZE?Z#*6w_L?>e(w=jF!T!0qb%tSp5-y|pDS zUlMXMjhtKcHy>U_)0PFKfAnmX%pj4u*MO^pSG@!XphN=o*~uM| z;O^bc-vVE=RJ@xj-)`|v?oTOsC-+a)zf!!Dn?0$2f{i|wCjwW`IIw4=-QS;W$?j+J zj_%R%yZ)QuD=+Jvl94>dKNa@()s}kV{_FCNuG+eJQwYuXuDY;zYBpyKZriM8f9Cbi zy#Ds?+q?3P?#tiZ-TmM0-*@lkp33i15#c%1+pPYUpD#b3>?XVNj_z#s@^?RXtrDyY zP;xWsaB~j`HbvyNyqFe>&#-Vmw}-W$22l;u)3s` zyI$<#YHCO=Ab+G`Rf#O!H`jUla*kk@U?t${P40Xr8nI8&)$3Av=Uv8&{@v3=3gW?A z=w0Y+cTTHYi~Vf!jj$D_>wiUKSrGs}UC`TGnvYFt{4{89rKfQAQQ~* z56s^9PAuw&BhpyJWsQWNzEBd+Nkq+L0HZC zlyl!-bx(0pz~M>MMKBjq(M1^j0MR@`on5gOo$_Upzu}$Si&V^p5`_cid>UjrL%Lyxvl?JdeY+Fah}{=NqOT4-S7lM8w3^Mei#Uwbmrgx`Og z{NW4oFdV#v@-Q5(G41FIyv8n#;i~6Z)PUE zr*T}y%X_&sKi&R`g{#SO?A(|cl~&bKJV#~nCuzhEmOJpcjg=HaEf%s|z4a`VmBUE9 zdkfgbiVQ-hXaUw;y-)n&>!9;_DvuR^hwm`nm6WS7f?0<3_|@2D%FZ8j9@kFWK&&X0 zfZKdwKG)$TX$`%Wm~~isftu7OLAwbnniD0J^LRE01w)vtyoKGKwXNp_3!h=q8&9$T z$|a#i&mQ27+PhGT>>PhEaNY%-%fV&1q5Ju#kP>g?7YNn_@;)e;b=hgz<<#j(aPwoI zyLdKuBNfon`;gb3aNYoIf(6m7vE2*){D7f(3@@f0p38X3BC zrPyzFY*CiTAb)(k3x)iwaXG`qg{UwIv#$=HH`hX)Vxcg zRjp+YVB&_7G9Gg!V+DCEV}Wo8Asr&sSo7g>JT^$54~_6M{4svSS`SUlX%zFAC3Fo^O*7d( zImaik_;A8elx&SLR231Lp97kj=u)d(wv9))!6-X07H?kX@{B?>Rl$-vFU~tnI6x%Af_Fuy~#g_yw zQgfxs6WK{)EZn62DZAhqzY$ZZ8s_70LItIVI-I0+Fwq%DM%M+06B!cnzXa^&mJU-6 zu2O8Rha_PrnswRLfeuslgp-fu<7|>uheq-Fx~gM6Oye?}nvlKeqHM||`BV)=-4uk^ z&DBIx5X;t5$Y_(MrkB+ARIFcCnQCA zP+P04Q(k7%o*cnd+WtWbmUH0_CQ<^Jc!_C0?mN`?Ifqy^9t1h_rWhUb_ADuWxb0@=LS3fZ%`gH=q3UbFV)4 z>QjIAV~(CLO;*11*xtT-x0OKcsN36U^=Rvjtu6M6pKbl?t$!^%<{;k&$WGV9EA`Y< zKlWqu81ax+BzWqntvBwVYOo_P{qh!ovk- z-~$G1K%ar@i*j+o8Pkw7r51ca;VHIaaGxcD9h z3Do`VlM+Cuc9$if1;E8i@SXer(WP)ZwTlFbUbst*KG>bDOCWjQ`qV3*5(ySNefV!M z9?a@v7ypenzaj2Al&|~v1s)!Yz>@F&yZ#*bFMQ#PUwr%R+dEFX4?g(8ch$SDjjT(s zdqfu&*u9JdGesFskwES3?+HU@D}y9K0<^oUKKQ|#>gFt0+Wjf6{{A30GJcHr+H#-g zFHmC7a6n8n4q!qx?xMlAPjH__(mKr&=*fTQ{IkmJO|ICjFsU`vM|`dEx5 z7cL<3n>)6~aTm`tr2~zp`zhvEmRXSsddr3DxtRcyqeYnmNv?z3>j%F~3EjlVUF8H9 zCORmz$Ik;Sk%kG1v+gBUfQBO6o9>7=H-Yh7T^>@6lqK_Qv@z1c1hmX=N>dr8hy;h| zwPb=A<`YfkIa~VzG$g-%CrwE8>zPPLqwQZPx>(1^C&ArmUr{#g{Z zjh2TbntZiuH&vgLiiATXlUtc&Ngqi2wMb?%qwopdor#2-#VHXbwNyeY{fE86DUTsl zO^$}CW+^+xOB$KbacVU4yQD)z66FN#h#Zdi zfLNxdLZ2v&(E=T%bwEh?`?8C!oFR$CgSe1tS0QB5cG+0m4z-SvIW!`GMC6lrs4DV{ zMM=tpStOQb-LJILLsjabmNGdFF!xS1M<{C9V~-uwS44| zu^B_f2~)yY07jX~ib*dshIYeqg<&o=M?uos4iBdyU3mj6IL)DY7z}~ zK_(pKG|Kg%i4RpChT|NIH4JH_2NW*C3K|!QDaB60OtP*8TaxxoPbK9zwlOM0W>Toc z?G|@1;qgjM8jtnD%PSl9B_jOHP@a>2v)mPZZ_uORz;lASn)l==mml3 zGH58|2(QotN@M&&5`;l~RMe15P^lu2 zGbp9<9Kao}+~Bh;IfTsBhS4gTWCKP?M3_K5MzU~fDkO|`f>aX|BQkLnk7}~G9#)X1 zMIA#CL`_EJ8fBs;WJ8!ZWgl*(6^|h5%!Cz(S5FeiEh87DV842XPPw5U9wD34^K1NJRG1F4>zBj75qHXR=rIO?7|AD$fQYz&&b3LK$e za3B>3RdC<|?+m4dWpJ}t?j%f9Ul&S8So22p;p@Q&i$b%FJ>#Q3@u+|-v4#)sj^f*kHT*WMa7O|;=Y{Sd+M!P zJ^dfb9=p%o=LPBej@QoYt(K?H{WJ;aVGo4Qx&-rCJqJAbGo+jZZ~nZx|7P)oBv_W9 zuAf$&1gevOQ_2@0!Z;IaHhb_2D)TVg{`kjd4{meA6WHB-s& z*49kjx+VB~_x{Ddh-ww>Rrh&9L%v9mBqD*@#p2ygw!BUJrD9>_sh)vyEylyuF zL%iCP^S&0gwevY!Ih;JEwtiLi`g_cuzr82B5O5A2Pp!hw&++U5cw7BrI^>6zNud27d~SX{;C-rL>V zD8aT%u`I#vE`3EL5Nmu%0?*G&Abw2fSH$g}3t(`&9KP|5TW<<}9cK5t-s58yWqVN1 zjva1)Z;u1W0N-1EKVQ&!T`Wm(>r<{5ch3bl!_PehI86d|ssyT*Ap~tY3FJiXvm}ta zBLlA!IaXT9twf%(W4|Pt1|#vt;gt-k;ujLdEt^puhdaxd2+c4rGXRBe48NLt1Gnj@ z0F#4}c+J5o;xqh>;frOiiCzL2()@?eoCG%{1cK*QEiU$hyJ@SKumz!2sKo6?eGxx# zyu8SAv(=76XKhBVC@#tTk|C+zD^QB*?;qHHGD8uG5nNXk&vHkV>71y}2FwB-1I<;E zxm?ASoWycOL@AuR4?A~$X$9(H(u_$O^OzypEiK%wh%jVs&w)W4G$e)`1?SJrrwGZN zDbu;?X{IU#cR}J65_*o@Jy|&^#q!KVR(=xg|Jm!EKnhEoyxK69Q zVilAedzJ8Y#b7ez{9NUs0TV2Pz9T6$v!;H}YZ(*0$bxse+Bjy8E*h2UVh&?_H1{AqjF^6-w*!@g1L%f%m1ELq&OcRkFSUaz%+ib{n+XT| z&|=)o)Xk2DO3KgzJVdUP%;aYS%fg0rS;tu5K&b*1Ytc%Nzce#xXoxUVnk4edK$CBsjMFLn7yIzejW3y z0gDi(?h;#|M(kcoAtMY!7xv@c7 zgr)*&S11ag%bXcyHAEX`5N24mBpeq~EY%wpmFpv%pe<1IlTwq38dImJR?*WvCah(y zES+^as*`-g0|DB&9p<#0=|MUeaRRo@tA3u3YB2#>H;b{N$C}Y~N_(j@7DszFN$EFa zLzn0|A+U0!hGkwphZH5!ZbS4zt%yjNrLwF_sW9i2(S1`I25M7kMh#-)co?!oU8M5F zvo_Mb^H9dMxQvGMLKvDP!B8vXiS|`2`56Uyn7{!D+*K|JM4%RLEhmE!B47?FYaIlG zhW7&qH`TZfKyhG{Ktq>2Y#e3QYigl5sIk$|p}`+Ca7qS2fWJSX9@gR&P)HF0(K3U8 zviJ+j!CF=o#U#&~6qClL#kd*R-a#Z;rXamaS(=QpK^hf_)jeDr4GO3&CynJrV^Mh( znE`jG)Mn|(unfK$-}Vghl%DLAfM3eh6pB-#3dN~foV>-cWAGiMgS45bW@4*iq=~0n zMf4&yJ}8RpkQ+^zh0RbXNk0`1^wA_&c39|aqOsjm7%a!r2m{HmcDh8b{Z_SfiGbx& ziNvK}U`&ZVO%L@p16EK&S*LZ0p&7D#8fdiNsI2K9%2{NluQ^jJ^CYow$Z0h7KsPL| z4~UO^JgFu77DBlJ&Y=;XA>V-;>QtjsF$rmE{OG=c!Ic(@o?@rMx{fR1&`1mv&ebFs zyZE5#5nrvvLu&asC_0aG{YhY!LJeFM6;00$l;(CLQjFOek1p#V;^=9SAZfJKv?|UT zBQ$PL3X?{YB0KIR?BZ!{^LXnkAhUjiEC>TM09sSjuu5K(2Qj&Gs#_6FFs_ND;Egrh zACZMeaYY6N?*rITzn{`xc!UEHtq2bC{Gyn|k*xdM5f*v_)}l8~5R-VKjh8@$zC+~H zgn-n19WggDQ%{pkFoGa6USZWpp%__efMPH=M*7V4-F;&s4O@b!}>HBTzUF zlQ0-g6%PP(9WvCKqO1)%${Kwj@#H1&tamVP{fS_0aB)&t*`5^Ext^el7h8IK=2>Fr z#drDtV7lj>oGE#rsFhAN-HgT!7zW!S89Bf15t4YCy^*Vl zxzgzQD~a)O6+;#GO75o2>0O*$>1yy|0^JK@$HB#DumKmH6U(@z7?EOe0PU`R-O8sZ z97Zm&S|>-K_QO~)nTFJ-1NNerAzv4Mh|v&4IN=c>8MZ`?3S52q|~bw&M2>U zZAcZDij01gJI*34fiXyutvY6MJSntVZe6*i1B*;QU2raaP>`axlEF6*)mZqQD9m@T znyfJ4m=;|*Fa2cgG@41jLmhhNy|`C8O$1~hnfdZ0U=i?)f|a7Dj#gUMMPkqc)UaX{ zxKSUgrYnZid&hlTv5UN*^R~)efn`_lSFw57Tm>uJ`eT2B)x}cTY2_E4%LDa4Ef|MB zDQVeAV$iomJ3OffahD2=fJSr^sR8<)thVBDfq+abw0h~sR0gBl8N>3}1Fnn3VK{83 z^cvB;3v?w*EEGQXBknfR6RJE%F_|$Jz{8>+5)W>KP6bVS#oknFCBZ|)*UWrn^wlW5 zoaupr(o}c4MjZ?#SAs7zFif(wuRV=d6vdX|qzqD(^+H+p)?7R;CB-*?AVodlV4ha8 z7F>{p>U@M_%7M2JF8CVp1y+bIAqMY3m!0{&!|yqVB1mO5?uI;gxrL|c^>v^mda$im z=XM&4Xi3u5(7LcV^%-iBY?~D=YcW+r2z8yqa0tXGA>o^rC$2j#%7V}4EN9EUt7P9! z!g3alPfiMV(w9_eF~Nq<-MmB7)|E%u0)EM;kTol3bQG7UYo{gGbu({E>>#>Q zsN+{$6Ya6GL>O2cS)F^@oX@IbI<79xkC`+in~kg|l?qium@f&D!^rnYBaM?%ICR~t z^YeOH(W49?%6ZQO8l@bj^PI_+(?+H*FzqzQfkvt~HN@hW`qOsFi?$0Y&0^hfYb9rS zMf(?K?r8_aDC1yTRw5rV33k>Nr}!hhf*vootzqi&df~i z$qkyFrcsT)rW4HU&zkII)@|yfmsA5*7?VkD1q{WNFL$mJTwO+ekK94XM908ve1_<} zr_5TFg~4hOUGy<_TqTjV>|7)pa@R307uNrDGnAIum_x;TYJ9LX;xle$>7x8 zQE-qvS8;S50!$TsSj>T0AP7uErN}%^4DmDunr0wRlRS9pLibkDEz=%#q;j5P^H4Y} z5k|loQ#a2r%Z_arL$?c;wVm3UW_8Ro#DG-x$5P}LvGP!wEQ141OcdP=nrwbVI15dn z?yxzbXB4D8iC8JI%rb6L#0hle>Fi7TlxwR;#-vwD2tq5ul!*L9E(jTEm0U#vczYzs z*L37Wn2zPzM#&mDJ(!>(Z~D5)>td<4J!x|pX8|-Nh<$3tLPKyxgh1Iu)p&?8=2q5$ z;#H;@yiuIP(rOmTgo>!CV6Q5n3FMZdJEqXMJLtYiM_=QA1xcOMG7*U5Rvop3q|z(Y z=~Ty(G#B%A)Y9R_WW{ZG9j$7p9z=6fjXE!|Pl>819P*b@Y6*k^?0MztlVz>St69P_ zpM@gkxe^5!z)*`QN}s|~UiGEyVWb9?Brdn=!Ri#%SXP}#mF^g0n!;O!nP<>|8V9n{ z<4Tr#fi9zBDdI+yV$_vNQk%MW52tpQyO4~Y-1-Oh!-NF89Gh^GSi8S8(rs3d$w+he z;<>MWHLbFI$jUZNe%Q<({(}d`$7#gM9gmTgFli=2b72WTa&6tSyC41N*=O8mME~kn zp8rZpC-HyfE6+bKyfjb(w#M$euE8hV)fy=EKR(c!-0XcHcZ`2Y9O7wB?$sI) zf%rPaZ=moVjFRMDrh%A6+iKwMzW5>;9W-#8HM)~$zm)wK{p9yHZrPU&cOKT}{-pcm zA3W!BpSj_#OZrGD?<7u}!Bh-Z^?s2V%+c*wj-FzqdBz_MiC?pXYWuI3j{xQyhj~QS zwceI|>7QoQSZizf=Vb2f+nTu(Bnk2*&cvY^%U}4$FMQ+C_19m&`Hh>*SdJ@UM+u`l zYM?Lmp}{bZc$o%D!;*hm4cyINz4@z;u9qb5K8!Hu(P*WY-g zRyLMF%JZnXv)N1WYc@~r*jlz6x_ zof>v7X)tQIufg1T$D^RMl)2&eJl^_=Cs@;ecM%($CsS~1_&VpAYzy<{T=asOL*2|h zKb{R1mo7D-LO5bUrz0S`Qjruvfh_t@_+&X0F5-)D>;un2=sv59K)l*QQ7nZ{3F7E6 zcT7dPhyvqk%OEKUCajPvI;Cux&cP;`abV!pDm~3Glw3WNU$99wSWu_vio#3D4QBM1 zV8!Ez_A^R9(zh;RGjZtIER{5W#LpvGrYOBe?8IVP5;ahqMm@slX`GVPs@U{{G(gLY zq&k}b!m%@*57JTgUjp2qPK@y=<)Uzf`oRSjsbck25xhRm3IJL z*Vbd^pvDOu+-0#YJV~*Z+b!wOs5e9l@JqUO%jy^|MJn_)QYMjPgf98D40N zXbV(g?16r?S7#?u->0cVJ&&a)?AzFruBO0Ra=s+_H9~+wg)HkdBOp@{`$UUM=}QU& z6m7^n1s8gyP;zLEnu$h=n(bXQ+h8JTa(cG4(Te)j!NSR@8bLZ$x5* z6)Z&~rCd=-6|GK}wb6r_@^4VIN&eVGIziD#4a%Q_YrIzOc3=g)^5SAap;#Dkg(_)I z-WdEcoY`QF$B%ug4CLxHFWeFK8*x!XbLD7M_!Uj3sa-XjPUoF$J|rk(QW+bBJ~dvI zVcE{9E|gr=t9rSF6g;OWGQvoK^B5M6OPaWWB4%Kv!ANgB*nLN_kT!&Aca>PuP+`^r7j97RG`eENxTpJRy0KaG{x$LlAV9R2< z(#vPLa8c^kquj8C6W!jN(HTZrW?1T{IjT71z)2&g=qp!J=epz;%Oii9FXjO|zI1ix zxVDb_VnPa~#gb9Wj26EUD%pOS^QTCp7-(|L9?#><=W*#!Q5}0%oi0fID4$19F@VW^ z$5q6;jG2_om;GXm-Cty<3-X^;%rqmGijFi>Qi>c*2XRh*^Ein&=zQ=R5J9V&3uw{Q zA%=W43$+U?l^?|)+OS{{VvV9RKVL4yzv9Yjxv8i^%Q@p#IWzy<4qKUcH4W7h&mAg_ ztj{K_BX+1ao%hyOTo3`sG7d6NS05h<(s(Elc>q<2zy@H7(ZN#T9e&bFe zi#r%46lRe)3MCG|-Q-czpPa&|7{WW}(KLZ_$pVTg)Blrvo$1D+s?-HJK=PatvdeV9 zQjrvN=2g$ign(GXY4oT%45w-7JhYIBkx=GvO5wHY!)dtk-aU|Tnv3@m_eI6s#V=mk zhRru}jBf25@7zjqib>e`>TAixQrbw6&8JTK=;F0L`(S_ISD#weidih84Rm}XT*SEU z>~m+|`qsBLmZy5?W3OJ(WCJ7{$gBY*j4s4M-iXP^_kYk;kseQ7q>%(K|Lm))~^j};5}**%+ic)!)&bHy@d zE${4$neKw4t!yr8L+ zKlnm&c`5b@8rhTEgT!$*Skt2|ow8xAZ;-ItTF%Zsc)j}^XSJ2LpuvYUz_BTVx1zxZuSf_mx}bME)v{KicWfL>r$fJqRLJD-HW zbCrjs*;71^D-ftRsVxPiVG=>oG0f>q%tHqE26#s7K)d7L_sT|Lj)^B)(r~1v_!(3t zCYetX-V*R5$E9wvY?mmMGT)#Zz1>oizG*eEDu%M+37>@0qgyt-HwW9OWgt^Yo0{mW z>8jBClZpdH9bD%LZ9x8<$cF3jV;!sHST`4NpzQ$t)`%s>W1n2d%=50-VG;ERp3aKq z6i%h$qxi6x2l)>rdM$(Ae3vqD=i;m}mri|!Qvgz^8Lj_QJNmeOimQRA!7)j+Qk zVj~vb(Kl+a)MOxati_!(;d@vdF)@?-=orwU5aP+R#IluQ(DGJbQ6~G`mAd_-9`8$b#>(9%9AWp zuA2EKxuq=(Mg#x>6~^mg>HS3A@K$97C-bos%5+i8o7`7LK_M3ESkGC%tyo?strwXu z^RD96TZ&u~^Q!CToeH|IIaguggJThbssw0s0)ir&&r!EVLZo*TIOX2bIiB-A!qOvR zHlOu~CI+!rIm%UC?Q8B*Okf^+*98{Aa=onQdCNPmtPauTRKQ3Fmi9Q)ELhK6m{LW0 zUaKq;5KJ&-2D-${-G^N4LmYymOOWi6sLGFW(iC?SpEEqm^xx1>3z2vr3Ee*N*#Rv(73d(TI*wZ~{Br zyk=+g6%rl~zGhreEWG{5Qpia?ndX5Y@vBAcBbhH2GI8USEuws^59=~8wBn*T=5Y9e z`vYM=ixv0rNnKAQFs`AOb%}&0!K9+9xW6#M;wH1>b>)dZVepKKi@^bK$X4Q6h~LAZ zqAj9sHLfI~mjo6SXJDTI(ak1Q90_v? zmocmrkJ|&)(lEFN;G0)G6S*;#lMrcOylHA2q3I?O`i!?p#932*(bb|;hv3^I!;5w$ zuoAi`XN%>mjy!QEH4mS_qoX6z@o~m5b;kw8JHzXh=cby$#BOPA;SRf5 zz9`u8ffxC-+yRcpII@b{@RC8rlclP@VwnXOZPr4cgof}FfVI28U$Qm4xLT2`@QVZf zMGWACxrTf1GL9!pkiAM3F4jm3s2JEi5yo-2+nh}^3DfOm&+Fw zR}VLkrseu0tYsWLCo8!0D_r31l)w$mJBL33ZqXaP0yjTiFmc0pH`hw9OA~6rO+eRO z!B4kCkH6zA$v}GdJzL|A8HR}`ty%;ibI#-F5JZkyUUbgY__#&%<`|!Ro+EmOkNMRu z1p1ox^wW-kQ8fDn&bpN`Qr-I=&jzxM?@~|X;hzX`LznN!Lh_+7GCF!PO6nYB=x6j@ ze2LcWfZ+M&^(e_5_fdRGZkkdIV&PWf!5a*Uz*i9FC>fj6-FIGi)+Q6Q5VR>t7*O`y z%;t@^P_Q#GDVvU*siKN#RWex@N6Zyy`H*jYl-4=`imgW?I`eap{KjdKRk%~8yiT*~ zbjH{$?-{tldmX*%`?w%>Ms$IE^qV5nglsYhHm*y~g=?pJunbQSpHT>OFzPP)m`8Gb z=sdBDwr=Q;WGp!CX;sAH9-kHHRj{=mGm}g1%k)F$`812XZnMn%ihO&ooG8BIAw^H4 z2rf{(Exwk16#&VBbRDI^6V>HMbS5Ka8|-51xE=~BR$QqiOI59aR9Fx=3gWv$?Y*F+ zIu;i$*((r&#q4-N(M6<9Q5(CZh>D>yl^ql)25#)shbtz2>ee2gCoYVy znR1?@O4ZELOUJ~6^HEWK>?17>5hNmQHOWcRIeH4C?6LNd_tZ#0b~HU5;j4o8=uRsP z1uzr63&W@dS7k)RBAXP*R_wf@*m0*P%fltRgS2(O=&ByhqMO%&T)0zTdF{hOOmYSCAh&w5KPd#=8DtS^tNaGqZ zg>2cGN*ejlToFApqm)Cb%hU6d%?D&0A$LAZkUYYI8uTpbr9}8epIb}N5XA^4*F{=K z+VYB$AX^ShO{wT^5v<(|{9LeHXKv*pA}k?q7Y=$5i9+B!5BRc%J#DwifCj62Ske%v z&TP4?OeQlW6a(}WqUJY&Ep9_JzJWO#BT?OwPD$9zm^C#=D>+Khj8@Vjdp3t5V@a(w z@8W9ZDYy3pZ+Joh$g-Dog1S8wU^%&G=|P#$68zl5zyGA=IvAsFSj0seHH0Xf$>4G66$(R+YK|xMN$Hc3j-;R7$r-D z0qUyK(iZXXcVwOyJGI({wx9+%_h1KL`c5(=egJ6$4EfpMjoxP+?A{nuE!+$;IgA2P zMxDF{)U$&?@h=(8#p>~%c)FZq(c|nxLR>%(Oe21D@7+s;E8QX9RdlyIr)IoxzLY#E zyF&uIeDyOhz*k>8d+?R7uwIhM0?k`!^j!n-XJ;R|b?24|Ie&tD<4Zh3zDZR*I!}DM z@HktQxDVKomHll9>}ufLM{eG^d6~Q$==pXvklbOy;j$$D$Z_xe=6fm6RQ{S#)1kWf zYya%8fBSM}q`k`P!yIoVC#r+pxt8}n^Io+H!|iHN{8!KY-ODx5I_eL9_^oR&rZ!5S zzs!o)=UDH$JDX>_)_%X?9kYL;)2K`L+`QLH+Uw6TJJ?LJ>n`@(k=!+&w>Hc7toHaB zXZvu~edvhGjy=Y=*#l^pPqgsu8*IIM4w$X8;ypO{^ruJCJKxC?Pd0Z__~6>X8``55 zHs+GKkZvE`SB!C9aGZM^oayQGTu*}ed^Zal~*2|eeG*ZtloV6b@m3P zU5+!I29sC~;C1Rg%Yd7d}i zq$AIKeuSJx&tyWX=PFF=ilTMB^HocirkeCnmiFLE{C>VJD~sphE=-0q$&x5R-pdii zNAV;WT|xzZ@!{RViTV{q;9Lzzb#V&T=ahuQ<{?L5RfK}hHeJHv24p~hjDcQkCAY#G(DirDC%?{q`0?(ZI*=jJFcwrM zyU^0d;Sj1D9`q6=@MdHb^c7UfI)M?;5tGUoBGAkWIU*xSj^&2p_0BJevl0)oWJD8H zfdTMq?l2If&!E~jP^V+o%RBQrtCJ_3q6Z9{0!x0@sVPNIvK)e#(#U8_V$@M*?2S-Q zNhaqtrwA@$XNW?xGm#8r5U9ED>4jXTbf!VP;>e4}xxmDyt${784$c!g&2xsAYo$e2 z*1^X%6p=LP9fOL_H$|uROx6e&GnE{D$cVj#OD*9me$T9Di^WfI_}TkabK9^#uJ zsN>gis80CR9aB6NYpgZjhRnQ!H-l5XdgVZcWJU$Emxv+-<#Gvk9DaCJcw+Snk8Uj< zsXPFbtcE@(J#Q(CSF+I!tPYJIIHxN?pnRovAm2VjNYPNITx?CD-02ex^SV!rB38+( zPQIiK5L;CjwE@%|N*-8Enm}n3n3RfkAZAW?G>k{d2hSDG$17 zDK(D;5SFST?Eg|R$d)f`!K5-+a834bDnV-8gW@_uElrzpwmcLbIW-|LNw{bUl*jeXkyU&3g`yB zqGvt1(16;7%nVFBPQX8jlnjTfW67MdwWg#sI3SX%h+C3FxmQ4*@N$^kizyVx2CGpb z3WV{`L`Y`^bOb~<(gYQ9Ee25TV1~)LZeGDa7c&7O4cp9Q79Fa`z z*{#fL3Vi16Dg`OX(>aI7#My%m>P65(vSF?czZo(pmn&Cw-Uf8vZvnuIom*XaD zx~~bwtd8Z8=~Cp`(;0QQoD;^Ajm`~Sj%;AsbJqLVzAG{`*hi)a=W*E3O%3VZ@a!jS zFuEcn#NdqK0ljsIapxI=V@%w8L->wyT_st>FMt2v`blGY-08>9e*AIdecC4<-ncQD zJlID*CiG8#_^q#v8mUe2HZ)>bM{Cz`E!B z+|Ox+@#T4B=8L zU&VQN|7Q>W&bf$w41fDu-~QIVXQ&V{o&O5Z#@ouIYpOl68}w zL(FP~2CJfhA$S5Y{Z>J}F(!(QKB{VgbjqSrkG_CiFiDK^ZhDPTA%nPcrX$N6W%m7* zL>$lCM0`R;9I3N~V(@CPW9iAHmw5;lzZ|;A16cl?C)7KN(I=(mbfTNk#H=g3Lr)Ry zbYL*aXxEg33ffcER4I-kbH>r*yH5cjlDZWhb@*B3*pYrtAQM%fT|%o&<#Fhv1R|kY z1Bq%FIF!Vo|3zeTHBjgd2=7r2ks&A63hVusg zqJygMig@t`pVh6c<7{C-QHYmG&)~rI%hGCv?!@)efYp0W3IS`al z6_H|?{gn&b>jb(%^8#f8ebbb`6IpUd*H5}mQzIAm0A6mYUA>AL95Jix)I~}~xh2<- z$Mn&XsctZy51qKYOHsvVkU@780ZY9E(Z$@WdN%o08Kt}{52+j?h*=eK6{J_hvah^x zb;?=ZqY#dxSq41vvWgCY2Z1~i&QOKSn{su zB6vZxb2^Q+MyR!-T5fVIhQc#;gh9^?W}b|JHpP={mC%yOb9K&jB@9K4e`!owr=a^y za4ppyqAQlv*^~t^4S6qc86cv}*OV*GT+zurt%FtH1=Z6r^NuwRz9ml`C@wstNyR7O#i zh|0`CT1FQhdHhhz+g+gW09gX5Y#gG=kGlvQ6ODOgc$N6*>k^`;kXUch_arI3c0dfP9!2JiAa1}%i6=MF54eCEYgYw^!sMZ6-TCe0a{rI%4^^{>j@-a=&Hx3 zw4LkhTsUlProLTvijOh|(8(ZYd=6YsfOo3Rr9ONULRjU2C7Muxpftpr_jCbdYcm`Rg`}1lpN#5*^Bn!at-&^yCy-F?wGw8IZ0B7t^4!k6 zUZPuTA99CEITHJU-X}WK)r?@J0MQqo1i6b*Y>|RQnmmE9RSS1&AC0C-^?H2j1TpdB zGRcC;)j3jUcF7uzSV)O9D1oXVCRZ=bR-y`OeiN2bH;9VCP{XtBaJmX>nog*5#F9H4 z0emU4t13py2heJ{5-KsPw^IgJq=-vZI`v3Ju03t0$Si&$rgNXqt7G=s-ND^s23$d0gG=1B zf9^ncaydr6t#5mlOW*P!pu&R%;>_{%i7)jRiNXdw5)54F+OdAND&nP=|mOQ-|x?T*kzgYh%)K96e{`Levk%Ozo0fbdXG3_L)i0*)ZdD2$Jor0*RqlEC zS*-knFC9=$3G^u2Twc@kFK8L3~<_W^D^v)C8FE9nY{90#S;o7e_cE_=z z)h^r6()(L_(t%SC&lnVuu%{&#^15he^-S^kB8#r@c@i|7;~HMCn9#)7&a8i#;znXP zBDBu?2uJ5)MsA7%A5ZjIk$OsQ0Pj-IcIf^XmS>uQqC<8?)@9zs!sGX(=)g1k66E7i znw;TWkQh|^wS&9E;EC&<&O5&yI_n0MIt(V)SPSOm*glu;QamCXJh|y{Vdl1ZR0nFB zJDBPJtvtY5Iv0q)(#OtQVP52~2c4Vo3M zh1L}QghV2v2US-|SUc|+T!4$jc%62N{h%I~iU)&g$Jiaz^fS*}b*oZjqH)d!3gICw zT#PgZY&EH?75>F3Ex>1c|^tqC~CI80<6N(PmF0hglIt zbP-9ZU?hUr0+j-Bm-2PgxLbIzZOLNam`SZ+Wxa|R7)HxSBpBXbaE0f?6_GMkSf@%( zho|$al6#ED^dxByW+;(~m_ezQqdQ8w#)u3=or<~SPLI)S0PEme#Yy+7i0+Wl9IsLj zAgF!rKC9SjG-d`uFbzehrd8mVO1u$oPN5tTmj!s zhK(VeQpC&xE#=OT4PS_&hfiE0*PJQBK=j?hm;&?nzUY(LK@B|yhSsGs2-wh!U;!k&G zTto(Q2~r|xFs~B37>tGHhd)H|R(%-{g->hyjh>1@MWLo*$D#;)ffTu(a53O(rOJnm z_3abS!}TcQG>o9E8#sJ)F=ib;$9~UpC>FV`X00=^^fIf-cz=o`M6U5}v%NDyBN$W0 zt;=Pky`>0WWSHTkxSD2xCriJoyF+BGPdbvdl#-N9ERqaF>@AbDf|Wj~yUN$d)xAk? zmQD+e&>&@m^qf$PfK=r`jw)K}puj1^S! zQ-fJ62t%xSze{U>fVoaln~ck~i_XHTXNM?i9qdIIo^!5q1;o@gcDU9}MH;?DvUVk> z{F@W*R0^MpEelp~=GCdseCC~1L$+cw@ZLh!JiwZGrLzl^y8$;Z+%YsDS*XT7dR>rY zo)ie^>yo>hr}){CA}nP=-@7O77v%+-!F@*f<{IHd2W|Ahtp_$@j1qP@BnTkJOM^Rx z_uQ1Cq4rML34QO}-n`=j!5-IB2Ocs9?+(84>lg*NzxuI<53wr`9`!6XX8AApi?FMK z3??1tG}zvYE=IqAk@b3T^SaCuXY>}RiCVvz30+4nx= zTcmHie#4H*Ef)0>{+}0RDfjDN*q=U@29{w&C{@|anql76Kqk-zOy>yL&x`SPzm`%L*9%@xZR z+Zn)izO_52E(#KbhtqYFd@>s(-Y|*(*JNs8zRoE3-S0l*JFolWFp|54GuBV1`r&qX z;cDOV$=7`BSJN(2J7|5Y_G@3;n&5xHpu)k&*~oc-cfXr*-RzG%V)xxqgMI8}p-VMD zJZrl*RjJ#_t_G5G>(<4wmaTlshsJT6uj8gxvZY+~T{aGICaeBzyQ<;GfcqbR_*=WN zZ{B(WbjE2E@Sln8@ZM7Du&Sqfcp_FJ5a zv>lG%4)yJZVusvcWzc%Ph{q-quPsl@#!{`L1wwweO)h3`!3zjW- zvNYr_@oQ$4aFZ~VM~#;X%@&ko;XBwnJH?C8{P2Qk1L8tg$jr9w8^Q5cle-o z93#NTUK~L|EvNVVa^{lljtg#Ob#;hOMW)(J(8VqWW>0N=~rHxXELH2*@Lr$`Z4^*v^oOtaLHqnheBj_f* zRv*Mp{sbNegGwE8*CE4NQJF>Aq&=zTMPFqB#VH%I$`_`X5hqTAq5^54JBdNqhO~vx zaa32ngVZ||UNFW%T$NJdOJ|v|OSC&e0fe@Z?rqYC-GA{#Pb%JVE)as6WTWKZjqIfY zF1PdMgbV|AKc?+@O2Q)*tXHi9t&v^eXN6=4YUy-YEvLt);^E6&HZp~Of}s=hT5)=G zM|BFeDN@qgs)QVUMcYyGm1KycBgI^?umOi;bL9gG%&|d6Jb4*l9puUVx)UWr(^

  1. c6J;VMZ}I{ zQIW;5c&>n}kVUK)!I!CUJ-DEw=c~!on&c17Vcq7r&c z-c2dOTfyexV7DuLthpkdZ3Y6yKq`zaM!ss52myb$V6&KYx7IDW=3o=`C7;deA-TEO z>>eECVmf1a_l%V_ft3>}D`Me`d7Se+V+uJB5RjxZx)DtfH)k~f+HkaDGc3kks0)4M zS1;_-^yM6NEHuKcoadqAqo-ihEMjyDs47$q{6P~20?2u+z=^psoKCq;K_?(ultmny zkKKFMd|Qp#D$mf_bh;s>2zJgmn;2Y;pt!hgQN}RRySVZtw<87-rbjLh;Lk&^EV|5d z+QK?NnJwmhmQexh%`QTEYK*B0WoUCL?w-=65+rL6`f%#B)%Uv7BQ*^ojtuvb2dCz@ zv60<~$8E%dCH%}7r&Tb)*1F!H?zuZJS_I6cI?=^_&!TMkM+t+V(HV`^6#o44-~8s; z4ZhyE}b|G?+}J0i_F!bMEJ(3}MsY651f~?njaafAhiL z?Dx5bcf*s-o$>bhwJ$OgKCWx0C#CnGrh_g1N%wMi#_5fCX2SbtB?-#=nVRV+8x1Hj z!0vU$Zf3TTcuNu)x3#(SPgd9dqO5u(r1#k+O;XH@=d9kx@u4X` zTlJ84?k|oV-MC_f{l0`Tq`CA4kefz_M#|&e8w~s~>Lm@fwXlhD`5ONXdC#M{^yXvI z;M%np+$)T zCuDKF(kak6AVsv!MXv`rKPVPe&waWQ4%1)1K`@Uh`X`RIG0(gnfpnr05E@{_jA6NE zn(ZLsj$hssM`{6n3BP`F>HT1^FjMbM56Iep7bDDMZ(1Xe>QM)6qYi-}t1wF{lT#wy5( z97t@C>q(=FR>7hMi#?_ZpVB`Z%Y}Xp=`vH%ITz8k8hU%MX^TsO9&b14b>#>HI4*1;1L3Beiw zQlE5=aj8NU`x@$$>S2naO_uth*SJDqN_KR2n%KkJ)IHc9-kup3!mRa{HnLGk2w)_G zRAkc!UO#0j9|HuZDVHSFb2O9Q!{KF8HDpYK02GyH96_&!7on(?4=hjmY_tZL6KE>8 zL{v2*2m#A6s6MVGo;h>LSyrry){~m>h_4VF`JfMeKR;no%FC~{nLtNNoENRULE{t+ z?_8&Ny>OK}eKJ6rvT+^pj-t>Wzs5hN+NMni%0qg1>~?l>qZQ3_JV}t~8qexdp7S(c z12Vc$q;5$le(^MzRxn74DAn>WG zd4)E0L5Xl^epEn#_S|B)2hb+KlV+=NE+tJ{vw=UP0}%u~^7b6T%xHWwv(1wnvPVgC zZWg_97Oa3J|MP;)_9_*9$3c<#9?`xgo3-w!UBGU6ZRhrQ1(-(u)pAWhPGp z%On~@aGG-j4z>EsGIY9L)lSt%u9h7QPHSp2S>r2SJZettrbUdgB9#x*AjX)x7#+9l z21k%IWKlML6@>scPW+T`urnv2diYCxi*>BRnr0b8EX7$9rb!fQ*T!Qv@nXLSYEBa# zdQtB;u#t47B8?d`q0=>Y=nlIs z_dIihI}A{=Hp{xqBfR393$6i2I-clA&6Q@B5s(`w#nlOqDK4UX*}>Bq!NDH%4!gKu z&pQzFPAXunbM*YAl+MSinpfltEX}-I7KbW|(?(GbISPgqv%^zTRwST|x=mYTx&I^mOc_D_RxV}WFLVhxF9v>+Q z8nPP6TDj;^*Vlnt0QEkJ3r+mb*z&rkji`BhjtkJEX-D~BsplNJ$TV={+}dZm%oGq0 zCW)Z}Cv4&g$&f`u^ps~I7=fjdst{4!0-QAQs^4~xE z%x5h8Pk;X#a=*McZ~kw(cL2g)3I$RI6<&DdTi@RBoYO#afXx?v-@fA`i*n!_=1xZq z*Z}l_D5}g|_{?K8`1(7v_MR7&Z?LS`eaI{!U9PDBMypycGPCiQ*|U=To$r6c?4Yx= zpAQOSp#5cWeELW4zi@-shsQZ}(JcIX&dYxpXns-}Xr(l>oSQT*Xy9%i{Db#>+O8kI zhRal^r2Tx@XmITY9RK-^Mk%@nU)~mjg{@?U^wVl^SHA4N-#zDC_p-kw*VXmLcfrf9=w(f-wFipp4p#b4;8xyjd5BSA3XfV zYd`wR3rg)5zkP`YrpCq77rwLe*1E2b-%0lOJ|_jV(^?Yk!CBwSM(!W{W4>u@aQ2~V z`Xcni{ll|DqcQtsFfg5W-to`QX)s=MdyJQ9;0~k#BNmhU|DBjW^{G#xLHZu^Z=Stl zPlJ}rLQ^LNH__H^q@J6wu#B_k(4ZKP`0)3H65rAlx#vP(()>ip?U&@}?7$w{*}EQt zAjL0?TUtqKFVAW?a6CugU*S3)E*4$6Ad<-hnwnLi$$D;QDrQv(_OQ9)QO~0#1vYZ#VNDTx zM*;Su;V%{-aHyMf0sMfmvJ+jY>6Q}A)f8Awyqu6`3CiUpFr_d^(li`h@KqgP_jCr} znyBK`iQ+Lnk#{NVE>O%qJq)~yEi$y2d<&R}^g1blh{l+JP&!0!%qMk1P&8B51Zs~x z*lJlKi7ltC?A;(?(9sSsCFD`8RfSt~u%7w|S|&x|Xw~Tw1CQfQS!K#r$y8dz&e!}_a))%oi=3wlV3As%6^NkOutI*6bKU?fRX2 zE(psT4F`g>Ub!%oK{gGBB3L2BtHMy6Ls4+2nqq@O#(*j%OZ(vc-9|0TDyXIY7B&?; zD1s#FJ10_5JE88hr&{2=oWfEyCXECL&{G|O(F7$1l4t^&I15ANg9()A`~tJ#m9UhS zK2BT|TN&u4pMf%N;IqMl1I;RA#FSiS`X18&gRcmdAgYWIM~}HFK`%eG0ayxa+;yY1 zy;o-IcH+h4Oeqmjo)KmXajT zy|Ji|*SPCL@T9zsd`T+cicZRPUKi)+P&OPL9MgKX&rxpahe!&wU&}p=ocKr8Sf&)H zf{Za-zOFPol?I*0|JEwQY@ic9&9e1+R_@R<7&aV|;IeLA@?%=sT zxUTy{jyQX6axn9xTXT3YqWics-}cmTW9hP(DQ1pethH-BvNCTUIABcE z`ONY2St#Y&4_&>X#~GgIIGP-R_lDCcN{L;tZbbu=#x-n%@>FPb3Q z`EjTEF~p0pt%K*$%IoVl!CBZOq<(~K7Xyl1g_}B!%bjc_KI3_c(@sQkJ;~}yk&1RF zst4t*WJ-#QU(NPiRl$@UZ{44ofYMdH%TgRmpVN_xhB4zJkxU4rVdQ=%jnV7rk9tWM zgjxA3EXxdNU8KW%>Q3c?LQfy*1y@Eb?3{Y%QFSW=MS!8CYiw2wg-4sJ&|-wqH(rNX zEMgOl8WXJ}vu$?C;QvN$A7 zRO?Y%m`e2nyCS7=aSX~i^~Obag)z{JaFsrIT?_Xj2gmXnOZ1FgZup5|I`h2C|T6|`pN|70k2 zlzzu6sZnm1~n1t7W@hQM5i-lNW?(u{Z19BU%Ml^mSrWyoC+IvNkC)Zj6}(}_Mm9Lrdy5QAam1Yj!%}U-T9~~<_5_9%-Nb?8}3aJjen_ZHA^Z*w&6`gKKq*ypmcjA?n9#nH6l|5j3HzE-Dlm4 z@-S!DJk#PDma};Vw;tbSz2|+k;E{p&8-I)$tm;9o=3LH) zg}w?#K?k>9eeEiqaRzLcetnE+?c;ok=fk@(&-*umZm?4K3K+i(#q6K$MID)6>}8L< z?B4J6MX2qYx9|Vbb@rYb-@rBZ*LuW`Yi{qj&qdwaB|$Tm$<2^_i@E+&+=DN&Mi=+` z7qvw3F>oI+@&or^mkq2vPkQ?-(=J8+KPa~K`S6WLHY0uTi1|9;!Pz&y!Hk&Al<7Ut z$z)$1TrbOPyK^JZ}ASe`v+ez-^2U1|5bl*Q~QPuZGntD zK?8QH=309)?9a9`pM3l5c6y6=EQ4JcXYV^Jcf*hOZ)epX$9G->c<&GIF^^@i6a9Vf zV;u1k$an@!oHt5qOPQF+(xV9Qb3MlVKrMx%Q&L4H#v(5SCCI!lraU-B9V^Kwp0g~z z3Re$SJ!@J!3S3$GNw&{K--W1v*D2~@w1Fbv^|9z_aYQ}`#em^Kx&zTgScUUD*b82< z#dFwgcuU*$onyZwxRu~8NZ)6dg~R{|NSlP9fLeUsFv13?srUleR4VF`3R*kwo+KlI zVvR7?m|~3dk;Wt62a0x5Cg6y`sBSO->Ex%A)W^)lqppJWXdU4i&j6<)_ow_VhIh#)z zNEV!VIO)Y;O`9r<%H$;wo%0((ofuGO$PfWp-K@1VS0 zB+fCEuEP^u^sSLO(~-w_bLjN^i)F$Sg4$KU`#%_sj9>WDAQL#kC-g>8*&#r8k>ah z4qbj)d;=z7F{@~Wuh6W#{uJqQqUCwRa&5 zo8h68U&wtE5RKPb&$gp}Mf`zFi>_(Jy>d1!3VVl=Y3O5O$plz0mM4dk?of)KKR=R{F4OgdG^RX;1Ep}XI?X1l^~t)B?YA}wsepHc?R(W6 zvG9Xr1~taZL3P|y({OZH=N;QiaY-8QzT zPV2sZvm(5WKi-yNONJYl`? z8eFzzw*%{AoOYl^bBi=aL;9zLRn_Rlm<>&F9#3cn!4-~O$r4=F6hb1EZstQ0P`MZr z^76ArDg@o&_6)kH><>vwW!vMr^>VVD^%E6tpLJjph<-H~HMp*t>!Efv$ZBsyzBioi zk^FK9)=hrzUVO2-Ll}Hbh2gqu?LfD?gGE&20W2&I^C0^?!^n8SWfvepHdvCnzY5M? zFUtoH?tkgV*^mBC!aVKSthQ0i(?r^Q$8}?QSgiXU>n+dNW9p&*ji(W_<=NPcThtZ4 zANi5drYg(wJ;Xc1EuDLRvRtUd_x$*+oA+-dOXmFdd9M&^=rh(z_WkZ88K;6V&x;rmD z+h_P*cJJvw;9Yme&3Zi|UUvT~Yk2J~R-=pKnVx&~2DJK@Fl%$KnGNmIdhQMVO?Xra zvZLkxztc1P?ridb$p=OeJFVrx{#w-^`W)+>fsymAy1N>vO|jDTHdgNk3ipDE+)ZKE z&uZTv`tt|l3g5Syy5cECxsraS6}GR%*9`yQ>z2Fw16{a_aBH%!)kTgcV^r$mN*dsa zZ%%{DZRIDY`}7=onkV4?XZM{kyp<8QUVOeY2{FMALAz0eZ^ zYS-u6cG$i9J|RA2xZif}pPb5ffunyLGOTO$HsbF@ZFl?^y}e^}Y2v~K>uAH;Z+v^6 zO&wf8Pt0&_75Ny487_hT65Jm->Qc?#oEGQX{hN#Z-QbSj4Iyt`Mi|l` zZJ`U220Fdh?=}q8myPaK@~^HV8Cc(g@0Gy!O5l4Xpc1(1fiU31_e$wcNeOVvk5YAX zuTuMJI+V8o)?mWWpm48(k?#t6xB~xl=<(?`x>v=zlAguOqdZmLULzgmC%sOk53z9%O1R1EW{;`$T9l&n_Gc8(To z!ld(vOYSaZ+xB)jQ`Up!tr>D?_jCLSn~**^e>msmIk97SaJQPD zCdabBDC!E^vNcYva7~aZX{@RN`8J$$ZGX_OW(H4tynUBo`>Z`zq?gHG?IUfm`!X$g z_xq&(Ux$X)GhU2CUe5(n>v$98@Wh6b7IP(udrfjbrhgM@evkFLPy#W@m<{ezTu0x9 zME69DcY{BMr1$jrUf_Er@VyfFUI~1!1pdU70FQ(yWk&apS~2Stg(r_x{h{hL_FG4H zH?h&8NjSetGQVe)gnlYQTmNfVZSLg;{K%2$<1;w9OB~TFj*+f+;}TYsCqs1U=Qi#( zjwoB$atar>1bpfw?$gKc9@~=xJGRqCf2(Cz>_o<&DR({tIk)X}T~Y5a?0Us>4z9Mw zUB@UVzqSHC* zC17F4z0R7nel>_l?4Ywi5~+O(9b=WB(h{!mp29edskyX5ftRC$Rw9I;(TN4toJ(B! zE1`mOUMCkhfV5SctJ1s4-B=@RT}B33b;5UqEO}#&II3tdX`$!e!4QY+3_v zYHS2GwOB_DtpY5vVV!CsgZF6KMpB5p*Q1M##o3~#k{_HYO*Q>QS_&H+jk)v+1Dhm| zM!rC@x={2|09$9mulK2R@o4zPqz_BSlLYoV%!1Ja{G#_1B%d%~8$#FFr+~q?yps-q zGC*O9SE}IYbYflnhH5Mhg?3>twA`TtIN4Uv=+neyp-2+ic@Y$0Zxo+0K!#8U%%O#2 zibb=G=wzVE7mNvsBv{E^Xgfjg4Tb1P{wk8vMSGYkL98&MSCzAML0W@ev8~o}8t0`u zoy-^7VIP(%P8POnbz1Enz*#jW6%nJ77kE+;*u|Qe*9p~Y699sqpMW>;6+`bj;jH+4 z`E*qmCwg;`iewvu)pRniR_qJ1I%>jnKIcUUtMme%Y(kcFV?~ZsAVu1jU&*(Go*=4_ zb|8hL@}^cgoB8o^l=$j_SA`fO-0?WFALvsVgvI)OzPt4;oitPc;qx3BmTwY{YyB8dQmP&3*Q-BSMAWpsP{gA zpyxjL@BOD@di~XR{$shX{*71f%Dub#c{vy0FaKv#zQXL>d;iM6@C#ew*{!pipFey4 z?%6jEZVLP8(e5|8wcdIA_VC%=kA8G_E%l$j^Yy>2IDhY_o_|U1-RJ+>^K$R}#vM5q z;V=H=uM7D<{FDFn&+ZU!xtpK=#PjaKH_|HkvkMar`;z$|J!Fj@UeenW$@u&eE$z5;>}wh`N&5OKEhi4 z_rCYVS6_Ygr+@n3Z1!h9@~WuM-1w&Lz`nb~z*oNV)vxY~{ki}B-?w^y{kMPlox=b2 zm$hFZ_py8b<=D~`Oz`}Rci-_7Y=RE_ALW1jPZILxtvh#S2Q%LGK!X=weetJ%+CA84 z;J(Rs+SotgM&i}^`RCvDu5Cy@YdTlE!`=epm&4r4H`DjMMwgf`S=-dR7++K$?d6wj zzx1-c_MCPz-`(Fp^v=F0&Q1O0YtR0mz8Llt@bKXa<9^+b;r`9vyfyjS+1G5fzu5T1 z*p2nK*S2pyFX6Bs_)q=RL+a`=BCaGood)lC2O7AqZKUvtu^aJo@pD4(p}d0!P8w{; zZ_0E3K3@WVDq!D&y{!>G{i#oXN)Zq49~ho}HQrJg+`pgpnt!qq2M7Babf4;y2E*3^ z$9FO=m(+pw11Y)cvW*=VVe*0Qc|>e^7d$0;aawQGbMMdKYvIt-c=y-tgtSRf`WfR> zoFY6r1kvP2^E>>bFCqLUAL8Vf+u68ApPt^MKO>qwvj%y|DfU9Ufl>3NAy4eAe9s3a z$x9MPsb0wmqR~7)dJ3F9zet~Q@Y>T3Kh)Ntx4eR}XOcothF^MW59#uOs5mfK#MZZ- zot)XrS>U~rrW;UbGy6l1BRgmG^Q6THn=}nECL9RS_vDej3!Yt0I7GNf2Qkr;vaGkxDJgVoB9$=2?%?4IbQGo_6$?5=0tTbWx@Z>KVZr4+Xv%B{ ztPD<;)Z9u5prDda+YKFz6{#LhZrIWus{AZpG}t9EG6B?4vVr^ zYpP*G#x(D6S8C*_sJMc*F=q@~;M~cZZ88@7s_NLQy%&$l81On#1sdwx4~}f-TI;$n&ru>aT<^?3Q+-q zBD#nH0w|qhMpK*!KP=tp57H>Z4xKJXc>-SKYA{6qg^}E8cbbQ*sYZeqCL1+KdccRTc~!fnx(Tnev2c%dya66EHx=SsRxY zx;uLY2SU(h7>pC}!M4ybeo%>nq6fc<-qmaj7vYY~bDuztlBGcf=*W{aQ#rC(9zH>& z01L`ng^7_z^I^X~yE--Cpg5Zrhp*$%7>djIh+*Kfb1HsUEHx~c&*t8FNI5uNi!;Wq zC>sxlswwAC4TD-1t1RHk?{Yt|B=eHSu#^(Pw&qjAYEWOpJN+@@r}ID@q^m>_ zNk*R|DlCFN;Ne0y51#vgYf%VIGE^hMT#=S@&s}|);Z@dE4C#h7x|{MDXu`LSJAkhu zDM;_dLbn^laXyk~TMA9er6f6@tm^R@Ut$yHCE zF@a5Q|G)o7{~vqr18qri*Y{QT?OyI3J?Y)6j4Yi5L|w8*gJ9W*<&}lblcst_I1nr| z1SU$b@XTT{oY)J(iXcm@-L1K=AHGkR!@aVd0|^QJqAVuEMvJi$C;Zv%t2u%s=$ti< z>`lyxepMEs#mv-jNefLGK_4BF*|MK^~DV|)9-H$V91HpZ$ue|mG#^8e*e zzwP6qeRJ>U{#&_uzOf-o_uT*R^T9TL?Em@cKM?-(6YF1C`)d#W)X&^y?hbcz5NO;5Wh?p`8@WGp;k#c83%S_J zLp<{e^V-e)FPfhTOxZ zRrU1KiWT>Sc;zeS+i!g1vZaX9?vA;&{nVlb34bfV=gY8r(SYx-nJe=vvW!0nNLH)C zRdbRCX5;GPx032=9DVoendVy4SHDGjc>Zv3=Zm~PMwMp zPlX)`(SV=e1Pu;0Lb=^2UE46Y;Kp-<;{ImwE}kGQ+PH-;+yNIMc}5)lo+VO@r?8%; z4Wt%-#yn8O$j`l~rLMUVdpvEp#<>hQyiWS1hOWjW5bQE}usp5<#Pro&IT?5wbrajQ z_5_wLoAx@}KJ<=bl9iXoye{rOEuW+!!DK1r!E>G?{>0GwyPhF^&wSYmuBBrRvbR*P z+Vz22sE<&F-x!u)ePcB+>p;(5coj!fHl8u}Oy)~|j4iMU3!RRNboF$m@R)&vKkmlX z?eh7qOocAJ1kj_eX0t!OLJiH4St|s&g3pFWmOIBkWM%BK;v7gxY62@ z#lbG+QbG|0oNe%gWMTotI&*p=!|Y;LhK=n9bPw}dEv{SAqPkfbWx$WTtV{Q)LRJ~& zg|nu7!b|{yG)YtD<4BEdMzUi2joh5mNU!7|KJ+~CI)xFPEc6Wsos^*llypDktEDX> za5uf+fFpjXec&m6#H(mx9%2M#0jnp7T+u5MjSVj65Jkx{Y%wYVmM^T=ispn?2Q`*j zpiu_n$Ru7e*y$#gerK4q%B^CAf|J`o7$9KdFo|jC)Ga_+3j$7eff{SZ4ux`k^J2@0=9uhp{$8=Yt9jMl5tpkG6@$?<36B*Q$ij25#kA0oP^q-WQg;cO$36s-1d!JiSPxk%k`ii! zr4GO9ny==molSB|L(`r;lc$QSBPzD|OrNIa+AoEqaQekp9~;9sN90)1SQp&FA( z^rIX#Iz)~njNt?cJDPUoTV#WoB)Ucdb_`!{Vlb@vQrKv+<1_XHGu|7%6c)Y*u}<&! ztX$BoO81Ziz7)2?(cf9nCj}CV-O0|lM+&}4VTXiFT1cs)06)w4&X-($XbR0{zPm;* zMCV&(D|BKmBut@XMR)y6VQe9YkT!)P2Ic%^kRS<<`lOJY+-tk96UHKJ1qw3dKDLipC$iiAq*Pnzhg)QsecCE3CVDxbgt+)oS zLyvfkJM9~rIUaIWC;`vvv6Y8zm++bV-HeB%jDmsVg#3YJC{(vXrIfhb(1i*&63E2x z{PyrJp||v|`TUBeYXqep4wJ>6N1!SX3@!SE6ttoZ8^NcZB(W7ZT1XlX7kB`)3qSQN z^=s)3SzSoLwn1DtnrnLn2{vs^qkhI(7$4wM~ODfZ4_`6Egv`yK-W0*%u}EAhka`$A@x1gZjUd!`ZA|yWr(iv>u5ER5j=yuyr^SqbVyUzMD?G$%D~5D0*gO zJjsd?3FCCkYRSY~Q!$rWhNfR)9+3j29j+QDK_=^fBd)+Poy8f|xF<+Z*jc|Um?s^w zD>n#Ag9^)F;or?tSM>U&Hpr%$QhNVXR%KMleN3BP*^73<$Z~rEE%4;Y8%ybTV$wn` zz{wY8Gf-3-2u9Iap51u1ONeXFak3SY@Z=@P#+DoO7~@dTcB;n5h2P;baTp4^MP?H> z(Sl}Gj+(fBSukg7VY10&Vzk1uU$&HHu}tfw7!QnVgsV`3sZQ_lSmXB)!u>&U!?y9Nmk#~*oUcoE945ch2YbDPZgx04 zf`{bLrPY3mSY0eGuK&&+VUzn~(fXf97|PG1#FQ6;($! z3z{Y`U3gzG<2W%cEj%^tseI#eZ%WeR6Le}X>CkajwiW6O^OP2)I!L5JGIHFdOnWg> zZe-n!b$P17XcNwv!lg;C&qDA(u}PZPTD6d2xMXE9?j$KqFZ5kVr#6+%YKp-m)>Jrn zn&WWb@?{oNg2Y(^;TyPHZU`1jKEh0_2M|dVTutBLj)ZR|;1&)3;sW3BGaIzI`SJNj z58m`E54>B%cg_D=m@~MxJ-_zbU-{|m?|j+!{WIZz^1q663NQObb5-HnKl9M{$~ESX z{_W})VcG#IK&;88q0~ zHXG95pZxv%eIhdsepS*f| zOZemHX7kT(zi#v3sm^b_Me6<7{KrBWn9ls{=Hrjg|H`}n;UmI7K7V|E_3C`y|Ifbu zoeKB9?^~T*+QN^$Uss-a>~$M|Rjxqgb?x43_uluweGhDJZqL8vTizLBMe5-*3rNx6 z*M8&iT9l8@|C^veXY=glCX=i$dE5W{e}@?Jl+CQRw_mmQxiG)>=`Z;+KOOMq*T3Pb zzDK@aJ^K+|X$#o6cjMkyz4}$JMgtcA-*Lx+aM*JXm)kdU!QHc^n-s7WIqoqp3$upp zJmfuFX}FG=f2_rK4}5_ipExVrmq4k)8r`>=pQT_nnQu&3<-3`GDR{XXxXby+mwq@m z)pwr%&hu<&e*UiQ^LHKaIj@-f`gvoMq#iWpr$77SpJ7(1G8Ow^7!K$8;?HoXu!mE0-MczBT}0(XlEnQ?aKM{o15oO zKNIHY6<-=$x$^MC@*f9Vw2yE5KYsYLqE(Mnk7(9=U4yqi{??7t=T4s!M_eaxeGS-^ z-UJQu*afj^_=QKBHCAFGcr)0=C!Btvxq2e;WJ`1>=IpQ;t*HW?g*P0FQXtnT{ssX2r(Jas*119KHz zjpFMU=WQy5latUDcvTazET)=!0?H=4srcb97T|0!^A*swvY_clbezfP zzp}iDv@j6V^R@}fCF0Fwy~qNMpjsyEh7em^2Ho+~LSN5M_Hx?Wp})67FC`nP+6%?1 zY{-;)U6K>~S}aP$kL^L9Rg|3jBihrUjlD{Enf9o}6G2gZMtU)SLe@GBM>MILNLe;w z)S-4=k7>kkG%CTWzLi=Ihp$M;8WQvbGnbXnr5oK=3coUy<+cr}hJT71XI$l3fL-`j zJwg0H7ow`8IVRTFe8ENDOlAw}W4Fxcf}?bTWtLdf)M&x3J_8pA+{B6)%bD(K1C!`l z%EbkSmcZIW*ZPC@MDZBLh$VZ0G%{GTtoZFama2tsKT|4WJ_6jGj1am+VafpZdeiRj-6RM@Un>XFREpz%b#I6d*dO z8Q}_o4FJEzO5lb^Yb}SQ62`~U39@I@1Fgq+<-AWBvI4SF%n={s0&TL8pfC%_7QQu) zu8N>etC6U>y$0~sTFGM+rA)^TRYB*qDePcUkFgb*%pO`s_>{XI%1~haj1Qe_5g;&4 zpeRlZ$|G>l9ZGh&j^&R~H>?VcXn!LHGiok>*&j)UdC71`O$AipLJ4sQ_jwh!k8zPA zZEQMqp6vH4RBnLbxq-0?c%2$>jjOt&9I0bvK+h%l1bl!V1p!a?JmGxTY|o6T*~aw_DcJR3)IHHt16h zxr;L%_>6I^MhS_EZA^QQY_Z*NXB~IdsVGG zTJFyd+B8dy<1i3$yHyOjf|D1gG{2pKTp zJY?9YaIRbNdM(VIp-gy3F)C4mZ(oi#(-7Wq`XXI7uM-}bKr#y@o1lT7&xvq?nhUT( zL^n}cj$rDC_>dZ&URv2vrVB7&DB7T!s!wf4=T;iStCG0S1mDONHsa@(wg@-^ZLj}>=7WS*0Hgl#jjgaw_uij5|DL#{S9Z_I8r=UC zKl4$^{Qo9bJ{lz9KdiwfqYx`NI8M^w+_`6-X*Ib0q0K*sWSRN;_S72KmC#4t_e){j z^3VNU_u7b-^z$mX2Id>{51sz<(_xe5h(ZO8jBL-bNtW-IZf?pxV-;^vsAkO8SAN^S ze-&Bt&7&GX_Tmxz%D;Q?_vC)y(?9%PxiNo-G`NZeryt*(pN$-?28K1m%j%VdGAGG7 zpScp>>wopHd`cSd6>YO&e2qm*pN7VH(cD%iT+R#Fiq~DUW_RVS)t7x3OBgm;)2z-g z#kn`h+J43GwJ*uBosD75?glrB##S~LWB8%QhBm{k&c;h$UbhKdm~URV@8CY_#F#T@ zo_M0gU(cPRoJ#TSZ$H3Ned6@lvzzlPS2pLHO&w7dDvnhM;fSA`^*2XPnaxw%_icwY z-Z!fOrX+bhFmHc53wY6h(&a5v+T~4Zux_fKfBX5jZ@+Q-jZ*mc4?eJ}_f7ckzwiE_ zJC^VK!l_3e<(*!&u_J9fmPsswvs)J~oO-5Rb!*Jqw^88A8^cw>6@Iw%ZlJ}P`_8Or z!1{6x-P}-vbLY$fOUsXiG|R$6F+Lh2i*B$w#vGP221N7d)YGiwp>MkmT6`ALt?KF} zu9BVrNS^(J(H{NP+C%3_EAe{bI*aExXz%?iG$+3s>P(kAl`;Xs#VubGM~|c3pppaW zj5_fqw@J6h^casKJtg%s;V2V4&kFQRnXJc%rL}@PBvdP9mF$G0;y|gE*-~Q1LI(Co zEga%JnclZ5ff5O2p>s|@La!uu&;w(KBVlbX<*4<%89jxgFg}cuMgdCB+PTNZplV+b zdo?_FnZXS6rjs1IsXq!@4huF;$qEdK0<*JEzeqNSvZ(XGquU7(Gr6>*SJ~nc-*86+ z&1ea#SmvJk^^maUE?Tv$DYJ36msj)>n0qze^mj4gnn_2BDP5Ht{Z)f%Rg(neiPD3%PxsCGaB;T3&NoHK>OJ8G)sLZ%o7(2w+0URN0u2MDFK-GF$ z*Qv5q)Q;E{1y5%2buEd3r=XT~6J#WId3UzFtW<`1!CG8jbc(zh67py;q)X`Q6w5_)>lsujc8WfWc)YstvteFf zZ9jMLvjTArtxSt@_}Wq?6njR??^rv-q*3$l6H9v)J$JCKLvbgr zMrJZ&rKcrK+0QJck6mkvDTyR?sk=9&GIK-jkCsnqgH7Ri&fuC`6}e$0?8sQ$ojM_( zn$y=^i1tT98F%W^j1tiYGtrZJEbiwFw1Bce3hqoR7Vyt@ynI76qD2^%g=C_4M*GgE zfnJNwep=5tbp&YH*DTF;N*bSYoua7K+<5MFck z!%dkhvZ9w|kuc&`X88CrrL6Pr%VFC^BjzVFg;!nG}iHx5kgWb%P(+rC#lcB)3{={&@!U4; zMDcG72`ov4A{j;ECL>b+tu3(zU(cfHQ!R2+gd8U|JAR0#R3-=}9b^=ic4V|QsLcMCvL2Esu z@7PieL{4RM=%NyI6G!%gaYhppaEWn`s43ZUwv(uxa;cdlDaVOnpnwiQLf6n5msCj+ z1`&oNjf8}_96_NV>4cLKj)~WD5t)LiIV*O8tE`BFOOAU}6(lCOCDa6_5eI`jX=it5 zfFTnrC zO3(^G4>gP$9hjj`Trx@9@n4YzmqT4d|KW21`Eo=y&N9r5*)Wh694+T%bGHb`;EO}} zz!!wv==87O{r&$?Jpbrx{`(&~p4V^v;D^^Kn*Gvh&FH@CU32#F{E6;o?z``S`F$pg z#jo>UKXm!4PKT7=@n63An~vxF_V2hB*3B)c?fhGG-}SDm<|n#8(Y<)zeXsh|_Jq;@ zf79TP{{G^E-v+rs{6M~hLQA88*X>(VScbjSNdlky603+G0i=!pk-FD zT{(Lw!J7)MuI*e#(5lb-SwDKV^TZQp?!5C(RY9DeJ-?lO;5$D5;18UAM-_sv_^`m#%yI5-a{Ah4$ z`~r%^eC$J8Pp!^z(-@z1dyM(LvUTNb^c_Ag zHPCEk)Zho+Xt(;^FMsKqhF=QmcYbFX=coqbB@v(Hr+a%s4?%M%5uD!z(W>WdpweS3gF}oyWl@ki(!r*2*b;tZDnGW8QR|U@0w^-il#E1_SQUbZQy^$y zZA6805A4VphWJJ2QN+W@n*b@#(=ID?BhW3dj%AiXM1#8($2O6=E0L8dzjBG{$RA2E zobjoD%Fc2;m|KmO+)?k<@KOI#ID!OubmCb_h2(e~w?NO%GO=UEmO_s|##9pHyv%VR z<*@QZP>x*qwV1}uzbbl1#FD3Fvo`!3bwd}(o07HU?Smu%p(psb$M%*avZFx;z_khY%2wWy4$gG6CX@B;8yxv_Nc#I9+; z1rE$!(bqtNNzb~_%QHCixYH4sAX-7*2js+2HhH6LjPZ`LF`c?k4_>S9{EVvJVfHJj zj7NrNGPJz`uy3!(mSZEVm2_{cD zb8pJ9YO_JsWrT4kHG&ixqHbXzj)NeMeWXN7hU8AJ$$U^u?LNe$4tYD!K~s5<#mo*} z!Rj}n6N9%0t)(?EvPDmsS#RlD8>rwtQtA9f2@!dq&MDRuN!P_c{m#2yCTfi4cg$+)fHu%L#5$r zYH5dpD%`NblG{TFcyH4>ucyYras%*v;uFhbC9Y^dHPABr(a2ud9-P{n77a1xSc2Z| zO+CzTE%o-U{ne#nQPjy8h%_$1Cxaq?J&aO=xPUJ zkg9Ao(x_-gs~J%&Ttg`jv$&k~%-H+9#N8iD76o}DpvK5$VogO^X|0Xp;3id@ zG(>h1P`PeWW#DfF6z65}6mpB(BsvNGtU!^T@pY zhQ$${V-epz|JZv(_%pxqfff5GvPy&55B*#J@v#vPAwPcMyDljI^E(f$+(YCOg5Fs3 zkKXpGYa;*k4}Z;XEZBH5Zf~BSKls|WrGNIHC4YB;zmDgqKctUp@c9VBh!tyU%@ml^YF=H29^z^)vtT_V(4QtF#mRZ)otrZEftzjEzLs2Rz= z|LoaUZvBm4cJ>fp5@kY`44p4Ukt}JDfLFn`V1vgw9K0G`V6~<%y-}bn zktsRKm{ZiyvGt~|@VDTCM>WVVb9yJ1%c!LW*M&0=Ug<#c8p$9_R=-~9c#!noNW?T{v^ z*`5l97+NEar-G^sf&nOlF#DtoTaKAAzGhu70S?b;9Wrtp#2~^V!&AndUmecACb}hD zG4DmhaiX(l6>gd02{2Sr20O;F4=?3oL(Gh&#obbs3Zu)*$QxLr*P(B00SY4|fD319 zhI$FGrVC!@nQV70H8S`#D8ls=a10ZShRnnh#Tu+8N%IM(tYk~-E<4v@DPVY!wo4uO zn4U+3Fr38PLWKtjxp10g4PbS)Uc5#pKi0loF^8?aBG;w+B&*K0wb_K3$|8kdg9!t; zMFA=fk46^Bj=~I0(q`B$i1}M5aDf^IRd3UNk@kXe|j^4?ruJ*c9cBJAx zRzx~@lVy{MQ4dK1De2hOgD$HUde(by^lN~N&(jtZ3MY9~pwm6ew`u%nx;NXO?D;)r zPl@TgH-pJ|%GP~5gSAza$L&cCY~YB;?FruI1KaO zltqG#Mue`v`D92HiYkW*~=H<++wJ&N!3sG_K4uZ zQzBM|3&ONgQ>*L8xH>G@ltYCo$)uGi#0RD{V;do56T0z4GvwUrrH3iW5|O*CN}qIW zR|!wDnMt^rSQ^8)=5ErT_8CgldkJc4mh*_i!b%EAh_AI~D5)^XBRTC3I=;)x*xq=* zS`FS)N;6ur+_vdvRKqA8jCl26ueaCPqn;AQRPFj{Z_kc*rrm-C^vz2eYV5?}CrcLa z>^0qlor0e0fb|kw*i1n1mldNOkS2TyIq z>99HPY)1N}OHUnq^7c>u*7o*ic%|?v$oc%U0d_{ePAAZPb=r=9zD0TTmzDs zt+mOu!{Ih&bT3^xyY;TEr3UTyNH1O5LW9@bzP)|%;KBF2#fcjY0AubuxN0Is*xz{i z%zNc7;c$xU;X=>wa`+=l{x7!gfz3;sw|(kYKc!+@T3mc}_x1DnYd-m!*KFTApTBuq zuNiCqo!3w?pW>ayJ2xLw#sa+i>CtOje{i9!jYAxgyv9r5?x4YKuV1S;@Rwe1%xm~2 z?DoC7t$m1wuz6{F`}W_u{gZ~T%3AaD2d$(JJbCWjtv|TOXdrx^FeBc6*VQ#wDi@)- z$As^~GK1dDU6?uF41D*PudkCpk8a?snM)@|OW}wxG7*<=iG-zpMO-}q50+QWtr<8=*KtDoO$xe2j_3O`tY;(a_n2*N&8Vd=#|F-y-`G6!J74|ed$yf<_$DoUMcrh0XZt;Gy1$ikBX>T3 ztbgs%YuDs%KgNse3*gn(&1=`5x%Tl3?>+Uwr{foU7R2j#q=7k?H}3~uhjBrAtY44n znjP90{dX&6uzg|s)CS`O-*usT>VwtIYruV5_wH~gk#Hvup_JJx%Q7>Qb1YyezwAQ; zjb8wDJGq;b%rh^aEK3gU?qPgj;R2G;w-?eWLVOY0Lp(l)c)y3C9>SJGAl*O^wb>TEc?z~8z^+g*b>(ot2scdbgKt;u=X93 zF@wz0hfe7kq?wmpu3vZ)(JD{UMtaBG;RbW3;Pa^V+hB{i1bTdJK&m~={9Ix`(hCOHrrz?mv~IFF*w%E54? z@1|mwi6>@scl|u(K)g1jLxSoQQEv3HuFMZzjXL9`-p zVrH8e`p^%oLP^B;qysf2l1#mj=MY;Ohzl!q(umj_!kg8!F=?TSCNWwO0iX`aRy+ci zLQKe{vH@f%FUG?}VMusT6TeAi;-tH_77{4KdC`uk-zrU@mwbmN^JBMflA-Z@R*oxW z!e|bgn}-;jxJ}g|gQ#aYK$XoIFa9CzKy@9iidwk1`EXb3W)ijZ@O{sq8=6rh-Jq+r z!w}14WFXVEGAz*$Rf}RcD;Ra^I^Lq24oy~3S}rpKt5%?GwAj;F?Tbac=|a&U6p2-% zEncFjg%e3lNDCWQpptSCJPt<_)?h-Y9t#>Fmc>78KFCfT1EXDd`3PwssMI64Iv>@# z9BM_Zt4ZygapZNz!F#(w0UF&BlF$$oj)^iQ+fQm1n{bbyN$f(PAOP-Ei4G~GpUYBk zF3?s^9&31H$VB|fC-8her(f)0mWSZ#DZCUE5K%8r%)YGb!dXn5R3sbdx?n<+!mKjh z8NU$c8hYcTX4lJ`!-k`?C+>)E!cGH5xCK~z8Ask6+=sknq{xHK^bn+;+d~u1Ql~@h z3O9uAgL<(lJXm0qxU9yl5THg&QH^RMX<)4m)uox&1tj=%ETEtClW|^8z>X<@w5wDO zI`r~}xOZqs6~0Jc#vXxlj@Lvom&|Gx+N)ZD9dZ41C$`UBPl{Ni)$le@A~Qh>Nd;BL z{cN1pd{!Vd+7T4$38pH9)wC^~JCv!~lw#E!OYok1xgE@K!!NoWUvc}Ui4<`9=D;!W zso3QkMFB0c;r$?9r1HDnoqmURZkewqtYVI?#FAAGxqBP}ZAuZrO9CgK`XGr$(+hEY z5R!83u0!Y_1ynI2w13&(k`g$55ocJ zlI&crIfsY9N3gl(?0m(PWw3pon4dlzZ6!Q^+x$B&oV{@2M;~|%jX)q3HaCB=|IW}> zzwYP1_1_WpzWJH6at{MrN5^8oRUK^Negun1yI0+u2Ig(%JI-yLJNGyL((OMQrAH0E zv^eum6dqjqi~pP4@9%x(-;sM5I-lrlZgk&Qvs+bn!?fUe0ZI!jBoPf_n#7O~0tS%!Wp5*Ii^cLhxBsHktF&28h_0Lys-6MXcs>;!8< z+EhG}Q>AM86-v`)NV3FVg|(2#4Oma2p}PpjP>=V@Bn_>?T{O&WuggX!NM$Y){0@24 zgr0b64nYDgf+Vb^*x=PlY$)?#i@~%YR+#jlFcgtvC2pt;u$acGn^4G6E9g=ii&{#!7mxhiLvmm;%WjRY;JjoM*kJ5@kmzzm&%W#e~Rk0E(^E%Wr z5{G>(%!)Fv#loDI9Dv3g{62&@q22-{H<-qmk{YV$1j(=rE_#PLposPpOJHbx;=@}g z1y_xJO=ZFEI0BI0UJ+JPkA}qQCOIVVKI zND+QVz_TU`qQ29F2@@G4*Kr&HFN~)J#FRVDt-|{h{B+7L08Mw>i4n*-WNwQtAd%n( zZ{x%E;*(#KeXo01CT5R7FUF!_SvnHstshV=>HYv?n%5H45>yzz&@}CojaqaaWz8YK zCSRRcY7P;C;xQ8hI#u7WvU#eHE~TCRq)PhT5sMTcwG~m~*I`1zbQ!ip(#`<$OI*sP zr1h)rXp&M4_#?FOP0-OI6H_;S7TL8txZrpCJ$|la?o|y;w(l~sd?EROYUGc(W6Z=9 zGg2G1ud?V+3!Pd`H$p7?gnW-dcp<$CyYVXwcuSk!pN_p3zVs@#WxX{mT#UMB#Hb8B zc&-oH9QJOXPqumR1!X%)chjkjOp+teP^rhIsPqoFyn6Diz__ztvJW>6#!r02hm29J zu!GS(Lcqu;>VYWIf`t^Fw3rNO1f2o+(03TKdLT6&E9Mh`7k`dYri`TU?m)kvl!#$Y;5Bwo&|7?+fcw1MkjHoL}4ceNNlL6$v7P`TVk#UmzxH4d6kJAUe+xq*vP7{ zg++|P@lAnq$=~=cFWs_Ab7msRi?>jGEY`+w>)b7@Oj#gm&t;ata^V0m)ufFs4JCBsXhdzIG{;CHyH#Mhy z={482oha-TdaMp5)ZlOX)lYqDbMw+l?HtnLCtv+)%KL~0W;=Nk=KH4Rv@bPdAJ(V< zj=(ZabH^QbEJKa&w%b1S`pxa@XwdXv+LiGO`S+MNn>e2xX26^I>xWwUlE0n7<`(l( z^H#cB#i^HSj(lmwFJ{D>_tqQh{hm`_@``rOy%oFS-p0-AVtMi63hD;lNAmmc_d5)I zu)Fr@^MCks%YOFk*486ozvUKl^KS3uz4j)06OkUt&z$i)eur7)ZBrclejDY&*>hXf z_RX$#Z(~LG>U!{|LhW1S%heW7KmFl+`@WU7dQ)<4RfB`=_{FDF&%AjtmT=e8IT~Cq z*{mU!IsH{;DYAS_SCU;!jBOrP84nIZdF&^Oy^W*0b&*-lLvJ#xNt=cQE~{m-WR^#3 zlGzd_dYjNa4@6IJVCj06FnW=WLb`(D>F5c@GoEU1g=hmU3s$=4Ikh0kC#*Jw3fD1b z;dl;a4wsM@1_7WgeQSb%4L^pf@$-~hKn_;~a)77g@WB_c@!SbcJm-xGu%x&0@&!sG z40{yKm~Nxq=F~tmANHi|&@)^HqXlmlYajsMNu_gRnT@vUUrEn+mbE`7tj?NTmH3!r z6pk@m8VeAG7+BQ$spA#%+zWlXA^9AJUq8dra~-gR!`TzlRP2knGb5HJU>rw)@QMKQ z-A#{t2vR)`jV|>ab+ralA5l+@5aW!SE|(% zQz+yjb=_Fo4n9DY;vu#$XuVoj%1#4(&Z#t+7dg`@)L$7Z$`~6^B<_RR+OVLgN;)G# zX?z9Gj`(;}7|yawE%vMiLPk~MMF`DJ9s&L0;Mz%WGG>XCxhjMx8Xr8vw%2PzkdyVs zQ&zqS<7%r1WiWj6)C#YXRVh|@SutUuN=bn&IVmC4dkYo%Ppm>Cr@V;XmIEHEkY+(K z5tUTQ+!2oIs#7uaRgT&AwbV`xygc4dho);oMl7Z=<2P_BR;c-%l+^pZj1&^Qib4<2Xhpu|~C!@^(1eV6!T; zEko=91`DysP>|F$Wjw;IhuZ9#^tjICW_6_y(;VMrv33~RP=e5o>l1?{3Z)=V#Fvcq{K`$bzCR) zUe@VMoSh{T1WYJU-J4=NhH=c$4x@q;+9X6CPy-xYW*fc1SeI!WJhS0`RhoOUz(^iLffp=B8V@E#;XAH7 znC=vwOLI`_UN+>2eBzbQbCx>F?kJScZVg%=uo5Ay$mD>%54D@nI7yN!VPbkGN%z^1 zFww(gR>3%?LL`NI1BYHwr+(_N4kqc;`GiZjh8rl&e&7>tgertpGpSH207omBPSTnu zJZ5cG^;x1}ru+M}3rz3*qTV;@ETwc8&`0M8&21=6TyK)qG_4sIY0bizs$W;L+E_9v z6wF z7K3g$tUu}IeRhj<-A-pf5zYoYvRIE4I5VZeQE)`)Het$~+W-~HZkUp3G9Q{%r^j34 zerGUa2Rd|RvpH6F`5jxhf#<=<*m=A21M*VI9Gfv)0d^UHC5oR8dOn*l!`}Cq=U&Fq zKu9H|&h@W`qkqs?Bg5&vadkj4IZ;CUrCreD%0nR47xA z_i09CLmOaHCyusIQT{E|glI8sQ10c+I#XJ~6~f%DaKs1t#l{AwzAmrg74e$_M<`ze z6qiXW2r8PGL7*5TUNht^kOC4L_z2&Y>1G?Onl)GYub9s_zH8$H>f_hfo_zB3>C^Lr zKj1C&dHV&~HrA@kE@7`b{bjw2+h%m8{esf=d+vYF&pq{$-(Th1dg{?fN25RZgH>GX zr|34K2K`qEXTMY$oH=vu+_||)H(&Nfh$q9w+1D9q@aUQ1^n&Z$`E&pH$Lsel!g#ix z;(mDb;K8I~{S}T$R)3Gz*-!T5s&TW%3Uwhjm z`Bq5@-($MpY`^w&zP1{g0{wDHISl8b1`pnUVOyz$l*IGkh4%#2KAh*dRwN5IKfBDN z!Vy+A5Jul)I)8x=4qdqa0}V@XsM9qK^5LnMG^@d1kL9bi-_19T?O))|z@^_@Gwqsi zW4;bNTeHP46?36Ad%9ix1ij1TeZ~Zrg1^W1>O>Ob>Tx6o+qnvQ#CS?s?}P```qzN$ zxwOikI$Lku&r9N0Xr+&x{rRo>%KS>FbJfIJBtkPn5JhUW_%m1Zm82(5AMll=y8u6b zY>lT$e9b`vE&yO%gK(F5{90$D;3aWs@a1RwTZWw&q(N-Shed0(_$g`d%8#BtU|q)3 zcjb3^^VnKy#|5rlJw+Q|0H+oxA^j@{B7B_{x(92h!m5>2vHE2m4wK zWr1%SKRTa(y!%0xjXya5*3Fx zXRdEEw>h@->Bem!xQja`H)-w)`~u`^mU;vQ==b}2i0KyYT8`z$Zrs)(^)pLf2J6l$ zWOAQ-Q)~I2o~v{~F+F&KLbD*Yg4=Km9<0fEHgk&^4E;tM4IRq_T1*mc@Noj2K@QU_m)P|=U_W}KcFRfzA z_AQ%iXN2NUlvs@lmkI*1!X-f%^(d5v3SQU@obWNdlCSm_m0rekA*P{E7of{Kt@KP} zLp42qE>MLWVeG0z=Y0;v2ysT7N6ObQEhLRisS3*?cE=l;sbiMMp-EVi9y6KHPB`=q zUT6zV1H%xq#xOB6MwH1sCv;UAH8nNB9tKxqri{fZu;JXCq;wblFx%l%Z#^x+D|H7) zkOBrDA44e}ZM#ya>A44Qdy1W?Hgwn}eiB3~E&=%|tGY|lN+;^;RUHBFEx|TkWxZ__ z3u=r>1pqFM=n7{Xy(Fg);3*1U1+THhqLJG0Q~fGqDm9gbl#t~o39*$pk5^&2o)NN1 zj)zLX-Wj$!S8+8KYavjkfX+GCyv*I;?~-L~MC2+p@rH%#fkV6qi)}+3Rc<m1`8Ail4mCZz0K-tMTn*HJPZN5MB)T3v zw&E>FvLJ}%>F_xUf4?nNAuQKH0fTHiS-gULG(?czn}{heU_!Vc6a_^rs7VZSb{bz0 ze+0G-~-;Q$J(!Jz1ej9FPYW0X76VQ85jT z1WFK;h-jgBNorsfQj*8RjH_if3NI5Ebte>mT_dq3r;>3Dy^8dtF&S1kT#a=>%vQoc zrMg1j>CkI|uD8W;6FT;MOQ$fM*%X75@7e6__-s_rLcJl}+wq6#omD!Ywn6@ygh|C( z-*O?r?JVefMbGD1moN5URFii_~b|j(YXzBfoIbqjvomnvxo!hlV6ACFwl!C#wF}q2U&U(C9u0d78svIZ_ z`hLQP-g+I;t4QC6zRH1w!Hl*j29BwHg8BW$DgbRU+n9aB$QJk~gv5KFtf@Zi=a1QhkE~vvbdMWxk z#{>>)vz0)7tkQ=zAFR@|yvX>flsA5t5D70&-vM*}S`wg7d!P+-zhV8W>}f-Yl89-9BGdBA9UdsZH)? z+=9_S^p5C*aZ6A7bpofG)f|x{NXQo)x_j~+CM1O7?j|(sUDvS-31vUoS4`~RyIWUZ zQM$YmZt<;qJpK8Q5L5WmdH%t_dA)pp>;pHV8*?L$8`1Ci?QkVZjj#I`jWW@UBT#?u zkKBlkVR{1z7e98r6#nLIq?2oFfZ)(GBV2?nTZrX%y{U}l z+HTPcS0!;W_((b$t%(u~Ph7GQVquK~t>CO)y2`rc^7IOuatu5XFLlT8#QNY)68e^7 zqrRBB@S@QZ3iEV|rat@<=wBhzQ6=iBN}~E)vTwxI;zmK1C@M#lp=1wn6{9VK+!Pa` zhC&9QrieoAhKM=is#*y=AZF~xL8IIYGqHSg#SU$Z#U`@&=#det$a}yPfAaE z^`RS^qR9wP%^{6iU{un#eq8yj&L#5r0~Nm(=rvpL-oPovE6HQsp>o)rmB#E>J^`y7srsZ0WfI!(*DP#f_BG}03o1mOndn<^g*AX=q zj|y8ty`|c^Jw^}&L)A18Qm;`+W4Zx+Yt^V?!?dMU2?0L#Zli)xcoZh$Fk2i6uhA@I zqiVv~pe^_=>(o_*vI^7{(3ucFqo+A$x@*23RI9ah`lx>fNh#Qx(kI(EjzlB6T152RSq3d6AR}{n5T+Gj`t{QW^qX zJz+oTMB>A>kVs#JlyZ~0SWf_WRdk9Y;TGv$VPdKx=JTn#i#g9w3M3z@Nt|S% zpmWO22_?j6OG-$kbOHpzSmRCtUf%0Y$J8};#^g{8s)v$=F;NlopxAX8dlfNn9Wt5N z>2#3v`-6VXBw1sn#bl%GL*f=eE1%a%4$6!HZ9?CJyoe=4Ia( zaLYO@n7Pt8&~!ZJb=9u-JKn-9$imqNjf402lSOg>5!A0wdsRI)yN0jJstRH?EZs%A zEn(%Bsc{Oub??eO+3JaEn_~$yE?RrQlO@Y*-#SB)m{I!+ZUIMPYo(JY+nS43^^ zS6o|1prx%4y!@F*i$2BQ&$`%T`9LMug2&@MJ(9gnp7r}aXQ6K1FFJip>kh49Lg9z` zWU4ES!6-u8kXjd%z=!j(C+{c=fRDnFQ8ZW`=~C9skSS&gqdyx=7&q+?3fCt_Z!pfL zd?yc6X~H|)4B&`&0joJtA(jgY-m-a&MVwlRA}1!P;gm;xh6uIE@MyFOmKmS%bdx=d z+)!71LbktW(T(w=aQ|rH+`MyloZY*8cZwx*k=qL4tXPdd(lp-q(aYg{Eb{RSgfw|G^7J&Gfvjv+5`1MgKdKbcP};i)O=#v{j8pi1~=01g{Pkd z7O5O;vWe36HFoidvwakhXz|+p#`zD;Sy|oIPxt%h8yoXW?bn!$`CjwA^NpXxj_}t3Qz~5+oh_&4tQ3I6P_^+A&UD4nj<{jvC?cVSfB$5_V zZ{YDQ{T}ld%u5M;k2za!T9g0qsjvTVl2r{k32xj6`yNruL;ZNW>E^FG_4Q2BRHmJ& zbm!j0HH?{O*4FGcl5Wbm`adzf#*3U=Pp!|g#mG-Q@zK-w^WOCN`T6bYk%u4F+}khw z!q!vQs6gV)@3`Z_g&WnvjnvRvq}vENUV~RY!Iz=M(0{f;{}H~WwEA3I8G=Nm6vID3}&lv%gDDRt-bYi8qMJASk6?Ahv(qcNWy z=41`p`NnhSwzhbGS-Z58?v*R68fZ z>5)#ayrINU%NJ)V6)FS0jjd3jhkbz9mv;DdET85rcN9%1sbmOXn+O)v;CDg=i4IC` zc0w>WLptx`jORFNFbuR>XRA{SQb)%sR4jKQ>^V9${JA1$V@?6W`dsAmrmfh>iR})x z2H13@M=5SAyvj&cTU#2KwW%O7%S`yo35^?5-Gt}}Go$hes&^?Gy->0Tx_@ZRciJ5Cl^)MV| z8Gx)Z-FHf_lqHK-v+cp3Cjw`EOUbjlQmO* z*kjgNu~}vNcP$Kk1dpcp@9jxbQA5h8(s) zlGUp5%1mm*2wk0xS?xRE-N05*GGjnxf+10-mV&EVd~Y@!^ma{(ao!uzyTQ28I8WZv zTh}&rRy7*P2;bfD>I!+zc`?lRey&V>uV^){!}T*it@Z9>1A|#Ft!Lix-mZt*qPc0v z#GmE*3iD2H))1ETmQh0nshJ_av*S!U1)m2|hR^(>a7Sad%!iVEmviBbhRz1E;$w22 zW~-&6YVBb)4EO-d1W}1IlQ?ec$&AK&jGy zb-L_$RbyFehq0Q5wqw#gtoT@cT`snyQ_T|Ar6#(lR)Nu{nFGN~*|dfLb>pr&NTyON zPP;p)LxIV})#y+2C{^24R&uUs@hFzn46w7Zb{r(?l4mEv@m{$*OJ!eXyToi@&`Ud0 zcBvZVz1?09ey39KEqUQGBIt)Kg`Ext`R;TN1}Y)P(c@>P@hh$`vg?TpcH%MBJFG^T zsUd*ieo^>(Zz_%8kbnrYy*QZAhj&5%P4>*p?lMPvs3$&`j#xysdHWw z>E%9)VM)+$HW<{bKOT^w%m8Yb-RU09)DL~AMPb1YY=T4aPgKSfVk-1e7?W8Fvooq@ zJCo_8Osb@0p*D<@WYl$BX$c8AN#K@6QP1|$ana@O*uaeYe$ruhj#ki*pDAcbmm5#( zw)i!dCVE*6V_EOIn-Pc+T1wF%S{LRJW62r9Ly3(sd06SVb`i)A-5c>?rr9YtMez(a-NuP6(Qtglbx*?;W#jx8=b9dw@tctZfsqB ze134@0)+Ezd_Jy=34Xsm{kwhb+O>@q-)bNks*dYx@Uo!6YtOv)wew^y6_y%&S{iKC zW?LF`YSY;?=gyIpg$A@jO&e_Kg$8cq6Jy_(F5FM}+sqyBJ$gahbLwRu?os>q zyzI}{T;kriH>l+s!~3i+{czF0&3t0=CG|#FtNSJOOW(_L%xb-zZ0I+z9I9%=xbgX~ z$iVsg&Bm50<+RxdYqlf$*z>``)>B{gRo7~N#V^{CG2g&Hlpt~17OCib9qIg)`7?{J zAnQHYtMfBY9u|GRkOsg_XmIYC{%5Jf8l!MU1Fps~;ec7w0LyV*gEM^F>8J)5*z@XO z{_w+Su+8RO-NPELh7amIe}uK$Tn8)YH1&E=UtB>2?}c+`x8?`S9k}M3+vlHpimTud zoHA!~8h2T*Ge~4uf-0bxGk0kkO@(t}61&lN>D;lt!_4#leF63R`Q(m9EM&)j- zyYmuS>nJ`Dj1~R5rMrx}^ikA*`r!CdT4I;J)v_6{1lDUpgw?7PwH7kKl6UEAY0sxC z>8<9gex)%C32D9Zvx5=r%2|ovR(2~vt*zv)DbUnVG>O$^tOM@F)AL&b&$jMj>W6C$ z>@le8XxL;?q2~Z=u#psnc*p zC-SbLo@-!X8a`J+FUJ2umB0(o3T{^QFJjU+tKy4%FP6ZICGcViyjTKX*b>0J^IzB$ z*Ha6RCby3Jf`=x@q^Qx4KkaZ#u{=wZs`tg-$FnT77m$7T1(f}#Np$i>&#e1GYVa&g z_gheH)neA*pi+F{Q@sU6|3pMtA1V3ck)_xI*6VyVwCexkq4D#j#c~uWN^HZcIbOX! zp09LYl=J+Tz-pb{q;wzo+L)}b2#~gJ48D-(HtDt>+=g5Cg-rWJ*)NvBizV;^mVkQ! zWxpu;-uASIhsO0rGF-{h6a~hl+|3;J-vh+?! z`;aYaPhh(ay0vgy7LE7_ZgkB=uH(+P9`iV9Uo6}$R;Kn7e10J8CVxw!4mFCKlHns) zYw5nwm&!3ZwH=c`8O`UI4Bj&8mMY_m`#ihly#8^FSggNX@GMlk^_b5hkr!ECEP)ra z1SXC2;IcPB{nA@XPBDA-R-zuZcyC2U6Xz=MtfL>cc%QX^)d;tw!KwiK2f!iJ7dZIE z*I+%vG?5d)8VhCl3{^-lj?R&D+Yhk~q^^CyKdVC$1#zvUz1?MU7ZbHel9jQ*SAz!5&#d$EcBirEnuUroY|?TE-4l+{6^W zxWl`U3LFEx=z0ux9Tgkc2xPcTt`nQkvS5SHFPW}aUP~@~#aie_fz((E+Ai2avd0c} zM7u?t^@3Z45(}-uopDqREy`J8Jkjf^8vRC z*5UWQPn5MF_=QiN_0SsWQ2j?*;I}u3cSy{7a4(%sT-QTz`!oc=YxjW@zgO=z<#;g0+Q=Xc3KjRr@+qRhG+T9g+X``$$tpThe>uQVCq zPKL3zUJZK*L>_j(;96^HVLe*1cGd97fNpAQSf~Ovh>$zc-WY1<>zWGJz>$q2*|2sz z=6iCpmSa*Rd(*u(oF=5&LhvrbF^@x&^(i!3LD-FB!d8cYKWk$ha`jsOI{4IkzrXU% z{$#MnhtnLU5Bt)@C{%*-*@6$AeyoS44Nxl)-7?*ToBF{t>`B`2&Wip0;Z4L7 zOH7T#0YX9vQZT`DlP8;uwP{HUMKRvnQwiJqI+3`YaW8D%YNC5$u`K=oHX~Pj=_$Klm*lxx{<$XdI4*yVh@O$(BS^_PrpMNeB^b;JoKGZ9)~pezdken?N<7ldy?&Zk9kx5 z&C6S;FU%Y2w}$XN=C-=GBIBaD&8)T;vqkXbb!XJUeP841ezdiSq^kqC?wsrI^$l;x zpI)>B%7j`qRuO^ECZCO-cwXHDU=@FYpBnZJsdbNX#>-<>@?09Uz?KlLSMDm`D*jl1 zxQ9ADC2k1XF{5Sbbl%@NU|+}(rj4z`bFjbNx}^1x-g9U%Pd)YiMGtXnS)mR2IcU%( zaH6XRoaN9RS{6iv<8IG-?OXR?7k&-nierO6o>$N}^y+D%pEmrJdt-3dWwF<#9#33- zJ{{p$)QqN%6YR3?Ar?xjLFr4xjRkRt=LW&9CHDd;^(MFl&74+`| zdWljW7h)0d4T=gH$jN2{i?#o5tXgf=Zd$Eg zy!QY5&dlt4lkk$>|D7+{Ip=)ech33F@0^)AXU>^3w1E=kNqi%W4wLW`m$b4el+Egz zlTrafnKCI9nWx9~Yev|OCW?<>r3%5?1KNH) zIV>_hWM*WPGrMHuJYv%xju8llGVm~t!Q}2&*bE5era!6{YVnQ`P{5Bui9ZS6BOz`? zqK(PWj?mulqf(QP$jHF*({|&zh)z+nEV;z#JaHLBW)j9yl0+AKkj|t=;lXnu>@^m| zZedK)bmL24)|bNQD{JX;r%v0#g=xry*bbv@L8)^R7gvtz1f;Zm5WFmaFN-yj2j7Fj zCg$e1#)6SX?hc{QoRbE=UzjO(a5wfFOO;a^osu}excGzx^iU@Fsa(i6#*r32HwPcw z08);50);Vr5eysl;edbc&5*}3<&;|IB#~G*p~o31K13IhlV1`;9gqdsUKJNA`VyG& zr7%;2VkhNIQeh4`>2ylECB>eAbRt`IN7;fjAc7xJEaOXILPP69E@F0m;Fg$99TA}R z#r!~-2sIuvj0wadc*YS+g#A?G>2mB4nJE{EbavoW=^$>Ly8(njfdE<>cR-T*EivO; zV`ygJLj&7I7UJV$9J@LqocI=5LXJdL(ac1Wc@LUtfy`9WX~tf~_qW6>&2b}h z%dPZnHx~k3edp`wzZ8}$*Hqq=vhQg|Sgzb+3W_Ywy4eM+%P)m>^(8Sg(ZhB^i5Rpk zubc>>#lx?ff4T@sDN@TzPmv5gi*w{I&UXAIiTnl+mntDqlEM_9rI*4wHeQikda2bs zDW_}YQ92y9d8wi(>7}N=2$A4Y_XYQO3afOmZZhOQ93q%$){Y|*xzrGhBlSyFgg_y2 z#g$~8iPC0Y{c=6TH=<@8)l80R=8`3VB7H9oTiCLw5s6B!q~Iey(F%DX?XGoHq~STK zh7>gMM<1V!;tyvA$5zw$IxcN$u0w8oR+@$;cmU00a3!V$geHs#LE|teDs(9$>U|p_ z!J>n>V>46_9*c8{C-~%{>fpjg4vQ2a!*K-=fR3H>nxS9sP zjwXez$Gf0tM3*%MAG*>AmZ(M>AgdwlQ{6?pY%v!s&VA%O!ZCfK z!Q-GA0_GGIErelIL{m6sDsm|vKg`_Gd=>4dY|J)14(dD! zn(x34*R54&x4!Ya-;u6L2TT>buU>g2dAmzw0nHqc1vJrM%%nW}=;PDS#yTOx0@(0| zWr0wJ1#vcQS|<_zB}Jm-ttJAk$vKy#&oEoZ$0n=-5CYSt#n~Gmf-b%je;x4-7FYIP zeRXo)$kOk^MGE5MZrsFU?5a2Dab$2=s%s^7lsbmr7BmpDZ&!0Gs$r3kr%A&qf; zNHC9$2L(+-B$;YprQ?7Vs;Rm5+W5+qi>&ambn{!VGH@Vq)?9lnY9JW=iY<6%(SQ7h zRo3gStFOP{0_<{r94J2qSJx!3KocftT2<8r7aYC;i8g0O4Kc;^;RHmxk`SU_g3Pui z6N4wXhDR_nct;&nF`7|xq{4}((!n4VQU0ja{LW~c{|n~KgMj($~R_+*_*76<6*L@-4+YWVTtpGahQ!HLW{^cA#~bW}v-e1}BAMEm!fYEBw63E=&@@KLBMGTMcb3!1K?l00ci z9_@VKqO@vi6rLLB+>ZxSNL5GPhKtc#J~N2#kW?um$x>#bVZn$d*#sJm;JQoPtYS_P z#DP31WPFSclQ43lpQ}9453p3Y<+0o>h9yXM4K+G)oLELE>4Kdg4j;!K&GI&S9gPV= z9uM@b?99-*13gpiu6@{XOC2miXmp*HrO&sxf z3Y+|?;S^D}*HX0HYz>P;3lCJT1*~vBmjg?lPnd(RGevMMH;0OKtq1~JtP;qnk;2p^ zw3n1{XWj-=nCa$@6B6I`#tD&0!K_g}M)BPxvDOYxz!d0;eQ(l`!kB1-R2-ux+idHC&n*$U(+f*@-dnSvv08IjADp z_&tfWyE*iZf)zXGqSwzp`_;35a^($)@!?SV3u#y`PoDYbNhEjjA!t;?S#QbPO4+yBn$J|A`7DG6jZO!TbL6+Vt+mHddZ zP>(29-bgvl^t`&qSD@)e`X)NzoVAc)uK)O&)Jf|39>aAKB~_HSt*0vG@zYErNEMep zHII_bwh^!quo18kuo37C0dXry3^{2bmL$}ZzRcJvF*qG85+eiSxj#Bis?kR^)3J0q zbcxj0jqM_$e&Na?N0xlY&wj-wLG5Jt6~%)7wCn#$me>EdwR{n5YRBAM*~JUSm3i(>I5oHWu20=Y#erU4I?F)wjbl5oi^5zqmlW{keE zS~OtlB^=JUhDFH^ZrwnV5{)2Oi1X0TS`ys+=K?o%ESISUqbca+0lv`hz??qb-n1?S zLWpDU$-s>%lt|sv1TsV49jK&kXBkmX=GaHwb#Sf>-lGPRYzdO2(ri z(rWyG=ESn-=A^*%Njh^N)~3=b*^Z8()6^-_>y8cCd4J;@Z@op_nOpPE#C_EIp-wto5v7pVf}yI~_>SQO*8y(ZS%KTqPPG!VT%rgo<&ACx6fM0wH8*xgusW(4z^CQHKKhAO% zbm&JLHe6ABMUMK*PK%N;euIal+MBD0*K+sm0|zgDQ+LY2c}H76sH^v~m^~B!_UZ2as^H6gy|^bM9Bh z@AjM{wBoszc&_RjXGJADw`HbNQyj0FIB{aUroQIxOxfXt<7~g;IY(H)bI1EHkElXA zq)|;Vt5Ost&PJqcOO&S3{eb$u`aWrAuGCJZfc_^lf@uyVR?=wa@TQj zeo0+xkw%nZSs2dTu80c-eJFA_`gr z5f{g-1Fg>k(V&DLhZ*-SRbZ)+AcNG5uo=|gQjeD@96pn9SL6~yFPFJh!a>u*_*2kr zOxz(2U_4ZbjaIZ&SBq*WQK3-|2=K-;ikWPlsk*|i;)uk8G=K(a91l{AbAjq22MG&= zJxG`kLu}Y*7?wl7%j*l0F-aG$rpj)Mmp@e@QEA*|>6(e;asWp-2cMqIVELpciczVC zQ92C++#cN{;SrLg8!s8Wl=V)QsY+G+1f!q!wRExAtg)O>_Lwe@5PiP1m@Ke;1;gp9E_T_U?dq?zZ+ znGzcsB8LFT?$zB~U|CVxCjQghwzgXG7%sPQF8=&C(6yW^~0 zoZYSQSRDCx$AXTmL7SC9H{1$CN4$GPnN=95*P;zPNg`8jXyrQAYQ3D@_@)frMjh^? zD{ME3bGE1^xVanJc;+D5Z8ua8OXYzF*rGoMH}kF|C7yb8t$J7*1qHv%^{^mc8m%2W z^NEg>Ur?~*Ff0fLKmYtlbNbhbC*?6EdDAr}2!tBluJEUut`Sn0%9OP3qTftYf*xio zInD!$k%y8wyM-VA$t9Z1U#mXt|^=$hF`=oxhW1#(i~)U>;tAuh09HU zPRGhGQ;1>{Lha9peVOWV{lm&GbPA8#1t*{3Qc2lgAA8;5p@b4#Y0*V93)H>>h2bI zfHpLh{aSim8#i>-NCn3Ll~+#$8K>SsA_~Vd3Z6FZvc?;^8)2TJ#V~ka4F5!A2;e~Z@W;VO5P_(RkcvSNer_+|hZ+c&7^jPnCk}4a zsYW?u!4M^fzo_^#Lb|0_h!-@u6%cuI*63~wamJ~GZ`GeDsi6jm_={=?V<#^M8Y)JC zg{ox8(~sz;Gt9{l;TbS&rL!X-!Y%Nle+gbxr6fTrQ=p-uxGDvi+pl0`rTERF3LbMr z6%@i971gLFM4BPZd6bPDNv5YLATyJk9NEARd$`FGuFiB04*5kGt}so48&xPoe1xc@ zY&~KiZaS$9Z^pp}$*CxqHxsDxC?Ld8#zYPzgYLqZy4JW4E7 zRE#kiG4m*=v`|s$#2E*Jejwzi7zZ@W3@T)S2z~fOg@QYT(-;0QCiA0G)DuE9lhFRD z5Fm`}3~mg$A}V6w0P}SSBU&PcL&xqpQDo?efJB3!=-CwVws0?*2Xl=-;Z;#*oOmYT zX^lT%JYlqWG1iP(cQ24N$Z*9GCSgw~=J#*}IUS6YU}qL7T=C+oBXk#NGz{SI(lFcU z2hth>g1pS*$I=s6%26t48p+DSK}Hl7e-N95?7S+6CEjMt%{NlT{>|HLzIkL)-^Qz5c48Oi&RkvLzs5tg$j0MUWncI7*M2t5CD&N7yC61e=Fm#M#GcQC`JjJ5AHUCKBY-;xUQ}%-L zh9eH_xlWfAFQ+sa_hJDE#qe^fVInS$iGvr}{lqb{j9MMZLoI3^B~Xa>?kYEULZ30az_ zA=wd`k|L17$A6L<%uvwei?7xM#O2V^BNv=Rke&lfDWKh|1Pd;t8Dxz)@AN~Ya2?Ze#WPMbFEaaLU9V3-}JS}?){ktE^yN22bEi>FPax%ap{t zuLo)qbZE~PU(Bm&7>Vzi6<&B@Fc`ll&o^v@wy=PF7W}jeRfYwS#%b<7K6ctzBT}B@ z4HYtTk*tET=tJg>{sGLjS75G6O-8C^J&o&;Lv{=o@8bT#@$I5s;$2*XgSyk$y()@X zdh;8#YB65gWlTHi9358-XKjySJZFd|lQewscyN(b6REP+*7nx=coM!PXBMBCD({XT z6@vfPQJ>#gGPh)Iz8LZN%0)FbYu9GVTU$HYeR1(PNr)DevvP)Y8JT*Wg$)a;s_wh5 zGYeWJv{`I+Eo%ELRiS3huD!oebl4Kx?y9((uxeI=Cs_ zJK__GA({$V9>5K)E{`fSc$QW=hYJmZr<%H!n=7`d!cDh3j@3s<)um_Q?j*xPI%2Yf z%f*l(sU&;}L=v71h1@}8mvOHb-8@qn9X;HpjXQNHVHZ^lb=XtvBz@S5tBy)@g}A4v zqK;H7w^RKP(p|=yi*~CVf7l0;2(gHgW%9_z9my}!=R)eo7YzLx@{1V#7qST75M3`s7m=1R{3wpT6+k`ya7*9qGRrqKWLL2BdLCY|JI9TH?hp7*&hKz2kTj7X; zJb(dKF~g6!MC5E_1QcS}Sb2xAKR6C`_RW-W|zVblwbil68e z3%kuEg{Gh65;89262?KQJ4*UiNi}#Oq!wVHAd-?1%u5M_D3_!rQpqA{P(g?gOQXkd z*lk;c0RXLm)+vC|zneye5!AILsZNZqoUFy;R%mFLK@y(l1l%5IlZcw7I|eVMqyzJi ziI0et>Id37CJ}?WNYONw3pKKh08ueILreC`5kFk4w(u-m$c+j$^o*ld^=kN{twvEq zOvds2K;{C(RK+Ym=bR!5GOH+Tg(1L|4#_%bQMRmWc;tl!UFghVfti3vi4NkYm`P() zC2!477rNIj1?0}2suaXo%2SAsQxfIN{ zpal^{t3g|#P2gfo#h)i+wu98uoD`Gf#?It&gdRy4?E`24F)uyCb#f!LI=MTHMfhq=l!s8Hix*6g8T(1%hNQVT`^@EdJjPw0~hPlQZAZ!}p7MKPo>ntXnx z5bNGGr?*hikfz%Yi`49fNO34>gG3^9;37<;_%up88K6a1T_Sp@03_&Er6Z^dtgy$H zL|@!ff*5N9|5(_G;w3W!FPmhhP<$mUs{{!i?}qZnKt5q56fsgkJcCB2xN<{sWqgPs zfd8C?u{Z0HCP`=}JS0ZqX<@J^9Dqaas^?d&!n)R0S`m$1psQ$I_Sql|F5<0KtyT3G z#qs^u+|n@{;1tEMTypq=Zt%%4J|(!I@s zi_Znc>p7DT<+dQXX4?Tkjv2Z3#qW-f!MiIP_fj2I)znl~j7YXzE1$E^?#L+kB352* zNsr3ixFmisF2Mrr(3^W2WwM~?K+%EBG>@YNnOP;m8k$e%q-KdVlSB9K6iT*iHHCYu zyoqxlH9>9!A{I}xJUDTVkP>&4?D(E+7C2IZD=Ey0XZ_S=Z3e8dgrIw#)Dn_Elo2g` zEKC|<{9u%9DAG83q1iXV4H(_}JY_NUQpkS%rRP_V3Lrm(cupyd6~Y5 z)ySY3HialmT8tnPvWQklfQ<1qi>NTl%|by9yd$F!qKPD}cA$!MoLQ;Cq#!Y)L5?Hj zQF;e}Uhk$<(+!!}-5;>vzpH=EE6>Cg)99)!?9$j7CmIYs*X_ z#zKOnv14Kb2C7U6(lN+Xs*12IV^mI80y+!R&%^{HYMz3}!UUNfRN;xII~54HkSa3&XB|Gn;xELqy+H(MX^zUqC8^67X|c9 zq-1^{cUC*a;czlfGVSzSk8Y{-c{IFp(2R~&>MMeHp zERp5r*X*9e9IfN*Q^lwq;X<61?tC-f-Anaov{sgsmHp~h6~}y4dG~EP@fH-<6ytfo zk$e@Y`=#t$^YAQC%*1Hw$oPwyZbV2_Q?Aq1-(v>S994u&ku_SO7d^2imtncAoTi;W zKvPWVe>rr6Ie5gv&4uR>5s&ATM|bz1;wzy091^-kcN&QrETDA zp`4z$8}kWCc~VD#T0Y#;Q^*X7QJmE-EFos^a#X2GVoq%aa!{Dl!LU~j5a-CrY87S* zG$PGM5Q+V}sKkVTYATAU*L;zVoUfY|l9GaI_)P~rFpD&Jl9gg{P1mZ~d@4~Rq2xoc zM0_jBXd-bmLuxPFP%&B!%?^i&QE2HK((W9sRvb{wdK61!^TiZzEvQ(t9Wu&7_a?$d5=l+D^WtviaO#8BZ6o#o{_3*cy+*4FLzb009w|!47dw!Iw?xs8GnN5x033 zi`Dz+c@r8&1Ef!|5VD9LP95L$ZM1V-Y$tc{A3}ywBA8aW`Gx}r3ksE#^ZmK^=YJ~weYYw2? zG1lUZGDl%d)xAz0;xTswbBmN_mtd)D>!*madNs`F7z+N#4P@tAztX!yfK|Z zPVnr706y83FCR=}cv|J&M zI^aT(aKRxW2mg79UfPc|E@WKce%d`S)U0u(Fvb>oqjED}m?u^$Tc!Y3qthdRR97Ru z;Ap~==MeL@&`TMzcVQtKWT7`!h*p5-Hvzl=;pgHiktb$4TbER<|HsJ)m)0J^r7IFh zmsBZ#D`0pH0!4L)B{Txj!$2Ddg~CBeiegiVTn|x1swsz&=Dc-2H|NZDN__IBjMjxD zHC&LP+gY#!LhDE4YcahlB3Rs+{<>s^XYC!^9$}Rp0hW&PchL zRzBns9hL>=I8k5p35M>lz+8Bbu#s5jM>3ZtW67lnZE|bOUs3`_PAV#jUl)%r^%NJ^ z)NKA^tG;sO%95I@nz#tpT=GBYxmOaaFvgHMsLDcrSX`utf}ue;TXmn6Lm%2rK)G zits$6h6Ovm0tNlrAW@5EmxVEh(X;SijSijq`RBO+?pbmVHrBcz|ZjKI37;NAxHFFEb~q# zM4ns<>AZCOg)GS;{EQGCt)Jl|RYPuR7;;pGsfowt_)ASeB%gKgn;hefZR<$Af07u- zLIJZM6)vOESTO>Ln79l{6yPuljRuo8nj7r{Jld4o&%zoPR+$kxcTz5%M%kc_VyIcM ztg?yqd@55&0j+>z?9dnqyE)!LRGEXCX$Ui*Dv5IwRTPcTiNfNTejuJ9wfIrXW4Z?H z^!hGCn`PvhL`9&f#LkIB%*paj$drcishD!Q6%ZNN0iy(8gG6KKF&mV&Pp~ycM64`1 zrR2sQ%M?uk%FP&6x^`tuu?$%8_TRC@R?@@d`C(mZ$wUMAUTslo|xT^LKaax zSVykGr=ekha8=W=YBd)bWiTX$-@wt-4W`O5jR&?{a|h`?SBt=t(Xmw!*5Fr}>bFF6 z)`T(Z6%Hes8=~V%9I4Zf$f1i-jV=OoFiIt%H;o5fu^=rymI5)SPz&p5s89{ymrE|2 z@)*g}HFT#gfor9JSE5aMBXYnhbZ3)JDZ1&+5a@aF3&e28iZurUJP^=z2Umgt*v%D$ z3mXwVRo;}8W8HP2&}ai`8ch!FZW1YwhD?is4S6`=<(elPb8xv4^Nx6~=i!Bv11?qc zt^f-JFrdM+p~9F4;YRRbSqz4x%VU}HtQ0aekerc2p&HRp7m7O;FmrHf*r@csi4-VlGY1vpCJBJkzH5zPncm-~ z9AH-rKcZM8zRHaDkq8r0O9^Mlb&_|gK}AbR0R_ZHVrUvx4kSiX4a8y&@&#f|;YQ$r zFa?=k58&8|oa(!CjYxV5idx81bMSZr3RdTHhD8b3jEo1+Ok%MB=NuNGt`u}a7Iq~^ zc`{RoB~pO>ZrzeA$f41zX;u!{d0*w+QK;dx&|m>$s#J(!trqj>8Z2PAvC6s>>`Wep z93W?=;7p{z6kT#yatRMGG>n!tubRjqq)1W9o3U{tEw`aJjdYV?i*LEP<;NlgBZvQ; z$Gh+EtlaOt(3Kpkn~ySu+7HEp9rF`AFCC<;IQ$%4_9C04A%c8%mI zntIrAk6{EoEiJ3G)D|=$iKNMoHejWnFCD)WFhx$&HTudFFybbUQNc^5n3Nd0VTxqd zE4`GLI|Yo@Rnj9(k%C88DMZ7`kZ_YZ5dY{Yd9LJ3i&Lk=B+@pXCs>^0;d(5%DRG>T zxE`3m2NT&PeF@fa^q?WYf&>i5F{gY<2O=KKhtl=zgpr3iy@AFPmc4isBS})r3A6y& zOXj1k6J&+v)ts8Do}k>3EoS$q8EPla0<4oh@zikQO|UJn5%`xt08jHyq#ZT!n&R>^ z&ph+Q*-*n^LHU{GC(Z)QwN~}mcf5At?pC>K7%b?PrlFM4lg%1$XUKDmOp?9vM7Gqi zR`E-S&}m5szY-5eF4hm;tWtpYKZ!cGpQHxVx%HB=M1>s7!b_QnVVooxvnf>T{=}02 zV~t{__ThzdmXO+8g3Og<;8})6%@JQh`i&V*oDF_)1*CHUA9RI^P4rkvViFr8)24;-l%O1VxwR^ z*4Vs|v4uPq^%gd>g<7DcP;m7Ficnq20^3@0!2H1QZO#DY*Kk3}%$ zi4_n)0d%}-0ZU-@rTI1Ze4>FT{?{fE{nvVw$fphDEX(YjRMS zF40CcP(>VbLhcc&;Ml^2TCACSV{%K-%fnt2z7X3{djo~^^1UXOX&v*-MS?iV!XCWU z9Bc9{l)YgohNTcv$Sa}nvLm$6Y67U5<4Fy;10em>HMx{sB78ja#sb=^c*l|@6(g!T z;vHMJZrfJ1C|Oh)JNC4wigiSzWC4Q(<>gh2SSJ=74;wa@ zY%UowVz;vxv|+<#mlapl6fbekor`Uw;!B(JiM&EHJ|M8*tqRBmiEA<2PM&-6` z<=d7l88)mnt{xbpDcGCdkQMUHwfh;6R$ru(8rU%drgTA!=i)@3AH;kY1hd~cD*#pJ_E zR8Jcu{Qq9s!-D23R{kon*Nw7iLDMBCgnJ-3(H7+Zovi z*a+AN*a+AN*a+AN*a#dE0(hA7zn+c=I6IP!fQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8SfQ^8S zfQ^8SfQ^8SfQ^8SfQ`Wa3pM%%k`}E zg~pevd)+hSa{dEt=zzlE^40wDji*-ikmLvTUyeWh+8(dTEA?M(99Gw}S?la(&163rmaVI6l!2Zq%wg0j0E| zhc;@G*R5RM&~NG3S>6o_4}87i;z;jDm0w9`MlN04=Q`!@u_|`O`jVl}zJYNwzO$v| z6KAzFabwMazDu368)_@QThi|y=OXF0$hBwmuX4T&(!U%{r>ulemy5B`fwj{=Jt6`lL3Z?S8S%r^49>h11RSNFoV7X6j(Nh1fU z3*7#l?(pi9wFf+3Tju`F$jb3QDEaz5?vH~PPKgW{dS2nc)fdnBQR&bQF3WH5keqK`ka*y*zHfzs#PMg(Z z`sybuo^zjmR*%O<{s()WoxZ(C+v;Uo{&Uwi7WddA>r0nzJ>#aHr^s*2c;nr@%y5ST6;&< zPN|$&(PJ0%KIw<8ztlgOdcoS0Ry4lY@!1*Qo_*5Cj^BE=@2Wbj zxNqZn_4DOb?-U1G->leOcj5PoZ)<(8VsF(&-!6W<_2Y_t2QJ!HytZ+ddT{xO$BTE2 zic4&UR_!TWQ`qh(*LHixDJ3!IyMdp3?i%fDa6dTma?ewvPY?908oAH&+URq3^x8V= z8P6_dM2qL)(N}oOLYD;kRE_@9J8sRy_P$%j{M>t&GP!mDAA5=SN#&;Y!Rpx0y=}^@ z+94~dANO{wnHN(hjT_N>(CCH!uXT+3NAFRgAI&^DbnyedZ(Z|bti0rsZ}xs<&2!S} zPhYaB_sY<(Du-44pIN;>8ogq|naeNj*Qa>Rx|wHHUAnx_aAjk|Ir?Rj`b<&&(r})3 z+1@^3<%5RvS6u$%K1G`>cdoOxWzvBwr}q8%n48E{_UzxMj_NiXy}XYCJXPQCTJBl=BR``FBBi@&>}UtLp6 z?ewizU)L`>X3>OO)T_7kYhC-2|F((O%<1=$^VJ=9gs*k>@8Mk2GIQCrk^X0_{r!Zy zwp=%;{}p5YxZ@u8bx-%7+4Nq+?DBsf*8fLqKa%bn_wUR4zudIFp>FErZ};CkW>5RP zy2-Krd*`!C{_vEs0|u^hHw2%a^1A^StnXwuOC0) ziGtI-53IR<(|{EPmC}Ry_r?!+w_x~=|GVOQe;BZTzP2f{bn2x82dx{|^3c;$*AF~@ z-T2yvAHHGKz)ABbc^|F2VdcPk3nst#*wks~4SZz&je(zxo3?b|OY?7!JyCw+sRK8S zy{GaicH>h6|G92%^UvO%-e*wJ*id`R;_2Z*r;UBk|BJfm2L_ET_|b%CM&ES%pzG)V zWahI)H*FbIU(nL<+{T;75Bh1ra}A3kH?JD>%DN>p7mvH;n}hy)>?;#qV7L5q&}Z|1 z>tC|$R`+1{{MJn`PPz52!C#;MyM~vFZvE@viwZXRmo2?*?BGcSn_qlo;%zSszO&#x z|F1i4?>G4S>pp1yP5Ab@!Ox8Sr2W;>+uH}PoWG-T#nL-Q58ga>PwmR8JDwffQE;$f z)tVWFrS57+OKjYXn@iONg)OVs&v>nLcy)2}8f|8I=@o5#XRcj7v#In(*Wf_g88iP@ zI?tu?n;bsEoa_{;qMQn-`o{`G=u*{jBse zS5?b~y1RA_krxDZY}`2O;vxMPR9F7DI_rrcXH;KW`{wjn+lOdv6K1}(^loiPjqA#W zKX%+58FG{Bnwgu=xcmJfbql7{zCHDxVM8LW8!G?&*gbQG{K9q9j=!wGXYG)e+iq`p zXV<;OL)I_2EAZC=_f8t}mj(C6-Wz^zbjYU*>H_aiy7!|YhpKtYmKn2$l@%{&lG;PF z?<`Z>76$$nnf-EE<$@p7{{4yBd&)+-nj1cd&KX|zo$5!L|MB#k*=5(e9&h+CI_KAA zGuxi5{phhdyUQ9}EtOlt_f?ifTu<-#c=mm_mOa(>T+1g@?t8ZEKdTp4ZX12y`(>|I zFM09Pq4)PMd#(DV%Fo#SmzVvi?Ujbl-oC%F>;uEi*VXy_ZLd{+KD%y_Z&>vk($0#y&A#Ca{;+B1mbnh! zsOn7(yB?f7%y+r#E&uL{xmWroRsZS5-EYmU_g(M$v;T`3bD#0u()Lcn9{1eWeRsS5 z+P>$BxgEZF)myxK%jfm+EpYuUuy@(KbA3Oo{-E~D;q$Ka{dmELwO=lucaQI9)mta* ztC;t&@0V?#RPK9j-iy8$T-$c+A29FtzL#B}y|_O#Z>#Us1=}a=|FmB6t#);^9~fUh z$hY40`GfnnY~U$8TFP^qu>z3JNRJvgcU8sFQlFD4v(uKo_+yKQ?K4tCTx_}Z)Y zHXj;Z|B&w=3%+bQG`qgV_i^>U=0i*Cm-;?!+duQr*81Q2I$Q@T;{)nn^X+sU*byIH z|CVoe+rj4et@ZEu_PP%Fh)3 zYwX-9Ck=JH%Jyr44(~W6Bn{)2^OEV#%cRNrHJ<(t7W9)IVmC$yEiHIYTBFUX81k0u zS?LRnFID%tXUOIJ2inj9g~R2m`QsZ;t?D7k59+@hfBLmOUXxeqzuGvgu4l8{p|6@! z5iPpf@pbn4mb3L7nQiw+?);;Eupk9n<-s2?f!H=PPb`cyPahO|f6|+n*l%7ndAs)n_g%eadxqY$Kbs zX2|ufsj+vpd*3QM+4V@n)*1J0Df`&9F0d;)_fy|u*B+T|7F!l zpt`{A-{}soK3RLf^R;E}-;Asr|AUgR-{bx`c;S@DfT8CV4qSclj31Q_-BWn+>Kgv2 zdh+tZ`{Y{wxO&R$!bS3JGoC0t^}NDAubwmGXQiip(W5ZfH09?d1XwLY~+8i=h^Aod$g@yw&g!}ePeNtJ+i)Z>DDuD>UoO%#*CNV9(GF4 znvrj7udE-|-ZLcsz2i5_zxia(m*nly6;Gczsb~A@{o_|fDtZ@rM!DGP*%iMpx~R2x zWbKs7`9-&lDyvvO`m7N}KW#isf4%&yy+yAzo~!-AefH9#J&l^aaqBtLd!5{PX~mms z&h_>Bw^3I`{}esC)4q53 z^Cx}F5gGr%`tv8B^gYKLGP1(*!oNTlc^W1J!wVbiyfbx@$K0seeC$HXZxQUG(kZ$6G(H*mvNfZN+OFcc}-Lk9fRz z$Edi(W@y!((lv$cj&g0cXPiJ;BxPSB>75dT4lS3Cj(EHXkPsYkiF8OBfN7g(i zo&NMCn|iMd{iUWpO3k@{hCX!+}pQp z%q;J9k6rcszT4K$4NU%E(vW_hG4pG$FQ4>6zjN09aOTuozdNGeq_vODoVNJ88~W8X zwbV}Edi8bvqGJ|KxJA8sTff$|FZpkqc+H%CA30y$aYy)CXa63~H7zrjT^s3t#@gRc zxNFOGgZf`F=8rq>abNdz|CvqiHOwym_hJ2iwDu$EzH$G)tpCeR+Z*bpPX2cP&13eo z&#Rjp>%VtCtK<()89QL$I(I|x=_$V(aKSoHEVQ)tvH{o3AJ9I3MeT+G_pkFyVg35? z1D+^2&HKQb>o*NpQBWy8sDE$#fOiXq@A$tfzW0X#`{!$$B1@-UI&jdsaV-x$J$3!S z^Vf~9efZ%UMh%=af0Fmnx*JvwytiQTi;qp6cHY28=HD3j$+&4t2fj4__Sh5UH=a6h z)7X0|pJF#YHSnM7<~INA?dg366^#wGw=A9>9(3B+2mQaOn|@%>*n%HTcxLoXw-360 z{!eB;TXfTwLG=YK4bN@7dHkTC7ChImD01_vL9eV^GIQ~`TfRByzsJ5Z;RSZfPX~QA z|F`}n%WicKcF%9!^x~9T?;8B|`M+y;sp!_f4!)>hlYiOL+r|!_RIvHQS0>)}!r(g# z-t+&u95G>W=k~-Fac@!)>Ru{EprE9D&zW6zz3cIY52JH_ zT{g4r$=Z(|o3p#D!PQc^HGE%XS;Y19j*n;GcWc>GZO^rQGUdK!%l@-^apktr_q|{C zYW0#AKOK61|FYMrU#k3!-G6!6pW0q&`0VZb8_Pa${btiYpS%CpWjkEI^=_Ya|0iV! z+w{tgs=88N4_9ko2dlf(*AKUj9eQ1z&)@c1<>#~O7Wsx%zaj0csN3utzTgj=c5a#L z@Qte8)UfNpxx;*yyWaBeu9$nJZ&LN2UfliG+ju0Q*~m@)Sm-z{zLH0*KDecgAr z>#yy5o|xO=n^(QXySIE^AKwDk-vWD=%{$lk!|D%ezZ^dAO5cwcd|3PC@_G08epbD8 z!oG@m5Bq-E_DSWw=jOfWd%?AB$NmBHe(!tP_1TO2L-V%!UR|(#!v0U|CEsdSNBe>C z^@DutU7t@lu(-a`_xlAqV+WP`YTui#-QI(f>aX#=?fPQE!RPAl@V(o%r{Q2neS@#P zdT;Zg;q?#s{;}Z8mP51aTYMi^?`uA^w0^1Y)3*IH4{fdgt*^s%pfWz7{x#oD*MS}J z(e-cncDEgDj^A4Uo^P+~kUt)&|IoM3b*Lr2xc)QW{Uev6Z~dVY+ViqAw-K-ruo18kuo18kuo18kuo18kuo18k zuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18kuo18k zuo18kuo18kuo3v*hk#@j*>qjx4rM(pmu1K~g->DynR1Jt>1M|7Dr}`|rIWWpH11}> zY^fFBa=Xg!aOIX=UAg)4N4z%(KPx`Vz}Jdr$uq;5`bQhK^2z5OZuXgGQ&|6s7AB3K0{nt^&*u4Il*(SX zdrp2aV^6)nSl1ezrNCJHgcGa!?cKoTB-Y==q>D0lUE;1Zx{{x8NaAeB3uje)O+s5S zF}c69T72(?uG$gWNoOq$EvYN{zW^qu+&>U!P7nMX)>&tUofYjYUJ+vr6*B9gyZ~-5 JOCy*2{{YGM@!bFb diff --git a/FPGA/VNA/top.ucf b/FPGA/VNA/top.ucf index 0181c9c..3a01e40 100644 --- a/FPGA/VNA/top.ucf +++ b/FPGA/VNA/top.ucf @@ -1,6 +1,9 @@ CONFIG VCCAUX = 3.3; NET "CLK" PERIOD = 62.5 ns HIGH 50%; -NET "MCU_SCK" PERIOD = 25ns HIGH 50%; +NET "MCU_SCK" PERIOD = 23.52941176ns HIGH 50%; +NET "REF_SCLK" PERIOD = 19.5ns HIGH 50%; +NET "PORT1_SCLK" PERIOD = 19.5ns HIGH 50%; +NET "PORT2_SCLK" PERIOD = 19.5ns HIGH 50%; NET "ATTENUATION[6]" IOSTANDARD = LVCMOS33; NET "ATTENUATION[5]" IOSTANDARD = LVCMOS33; diff --git a/FPGA/VNA/top.vhd b/FPGA/VNA/top.vhd index 70309ce..80fc565 100644 --- a/FPGA/VNA/top.vhd +++ b/FPGA/VNA/top.vhd @@ -116,6 +116,7 @@ architecture Behavioral of top is CONFIG_DATA : IN std_logic_vector(95 downto 0); USER_NSAMPLES : in STD_LOGIC_VECTOR (12 downto 0); NSAMPLES : out STD_LOGIC_VECTOR (12 downto 0); + SETTLING_TIME : in STD_LOGIC_VECTOR (19 downto 0); SAMPLING_BUSY : in STD_LOGIC; SAMPLING_DONE : IN std_logic; MAX2871_DEF_4 : IN std_logic_vector(31 downto 0); @@ -255,6 +256,7 @@ architecture Behavioral of top is SWEEP_POINTS : OUT std_logic_vector(12 downto 0); NSAMPLES : OUT std_logic_vector(12 downto 0); STAGES : out STD_LOGIC_VECTOR (2 downto 0); + SETTLING_TIME : out STD_LOGIC_VECTOR (19 downto 0); SYNC_ENABLED : out STD_LOGIC; SYNC_MASTER : out STD_LOGIC; PORT1_STAGE : out STD_LOGIC_VECTOR (2 downto 0); @@ -406,7 +408,7 @@ architecture Behavioral of top is signal sweep_trigger_out : std_logic; -- Configuration signals - signal settling_time : std_logic_vector(15 downto 0); + signal settling_time : std_logic_vector(19 downto 0); signal def_reg_4 : std_logic_vector(31 downto 0); signal def_reg_3 : std_logic_vector(31 downto 0); signal def_reg_1 : std_logic_vector(31 downto 0); @@ -692,6 +694,7 @@ begin CONFIG_DATA => sweep_config_data, USER_NSAMPLES => sampling_user_samples, NSAMPLES => sampling_samples, + SETTLING_TIME => settling_time, SAMPLING_BUSY => sampling_busy, SAMPLING_DONE => sampling_done, START_SAMPLING => sampling_start, @@ -801,6 +804,7 @@ begin SWEEP_HALTED => sweep_halted, SWEEP_RESUME => sweep_resume, STAGES => sweep_stages, + SETTLING_TIME => settling_time, SYNC_ENABLED => sweep_sync_enabled, SYNC_MASTER => sweep_sync_master, PORT1_STAGE => sweep_port1_stage, diff --git a/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/deviceconfigurationdialogv1.ui b/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/deviceconfigurationdialogv1.ui index 75f3e22..f11fb02 100644 --- a/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/deviceconfigurationdialogv1.ui +++ b/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/deviceconfigurationdialogv1.ui @@ -6,8 +6,8 @@ 0 0 - 488 - 364 + 487 + 356 @@ -33,7 +33,7 @@ - Qt::Vertical + Qt::Orientation::Vertical @@ -141,7 +141,7 @@ - QDialogButtonBox::Cancel|QDialogButtonBox::Ok + QDialogButtonBox::StandardButton::Cancel|QDialogButtonBox::StandardButton::Ok diff --git a/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/librevnadriver.cpp b/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/librevnadriver.cpp index 8f54597..4ff11f8 100644 --- a/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/librevnadriver.cpp +++ b/Software/PC_Application/LibreVNA-GUI/Device/LibreVNA/librevnadriver.cpp @@ -428,6 +428,13 @@ bool LibreVNADriver::setVNA(const DeviceDriver::VNASettings &s, std::function UINT16_MAX) { + dwell_us = UINT16_MAX; + } + p.settings.dwell_time = dwell_us; p.settings.suppressPeaks = VNASuppressInvalidPeaks ? 1 : 0; p.settings.fixedPowerSetting = VNAAdjustPowerLevel || s.dBmStart != s.dBmStop ? 0 : 1; p.settings.logSweep = s.logSweep ? 1 : 0; @@ -664,7 +671,7 @@ void LibreVNADriver::handleReceivedPacket(const Protocol::PacketInfo &packet) info.firmware_version = QString::number(packet.info.FW_major)+"."+QString::number(packet.info.FW_minor)+"."+QString::number(packet.info.FW_patch); info.hardware_version = hardwareVersionToString(packet.info.hardware_version)+" Rev."+QString(packet.info.HW_Revision); info.supportedFeatures = { - Feature::VNA, Feature::VNAFrequencySweep, Feature::VNALogSweep, Feature::VNAPowerSweep, Feature::VNAZeroSpan, + Feature::VNA, Feature::VNAFrequencySweep, Feature::VNALogSweep, Feature::VNAPowerSweep, Feature::VNAZeroSpan, Feature::VNADwellTime, Feature::Generator, Feature::SA, Feature::SATrackingGenerator, Feature::SATrackingOffset, Feature::ExtRefIn, Feature::ExtRefOut, @@ -677,6 +684,8 @@ void LibreVNADriver::handleReceivedPacket(const Protocol::PacketInfo &packet) info.Limits.VNA.maxIFBW = packet.info.limits_maxIFBW; info.Limits.VNA.mindBm = (double) packet.info.limits_cdbm_min / 100; info.Limits.VNA.maxdBm = (double) packet.info.limits_cdbm_max / 100; + info.Limits.VNA.minDwellTime = (double) packet.info.limits_minDwellTime * 1e-6; + info.Limits.VNA.maxDwellTime = (double) packet.info.limits_maxDwellTime * 1e-6; info.Limits.Generator.ports = packet.info.num_ports; info.Limits.Generator.minFreq = packet.info.limits_minFreq; diff --git a/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.cpp b/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.cpp index ef4a8d9..7d9b788 100644 --- a/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.cpp +++ b/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.cpp @@ -118,6 +118,8 @@ DeviceDriver::Info::Info() Limits.VNA.minIFBW = 1; Limits.VNA.maxIFBW = 100000000; Limits.VNA.maxPoints = 65535; + Limits.VNA.minDwellTime = 0; + Limits.VNA.maxDwellTime = 1; Limits.Generator.ports = 2; Limits.Generator.minFreq = 0; diff --git a/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.h b/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.h index 0a15586..6cc8129 100644 --- a/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.h +++ b/Software/PC_Application/LibreVNA-GUI/Device/devicedriver.h @@ -72,6 +72,7 @@ public: VNAPowerSweep, VNAZeroSpan, VNALogSweep, + VNADwellTime, // Generator features Generator, // Spectrum analyzer features @@ -101,6 +102,8 @@ public: unsigned int maxPoints; // Stimulus level limits in dBm double mindBm, maxdBm; + // dwell time limts + double minDwellTime, maxDwellTime; } VNA; struct { // Number of ports @@ -264,6 +267,8 @@ public: bool logSweep; // List of ports that should be excited during the sweep (port count starts at 1) std::vector excitedPorts; + // amount of time the source stays at each point before taking measurements. Ignore if not supported + double dwellTime; }; class VNAMeasurement { diff --git a/Software/PC_Application/LibreVNA-GUI/Util/util.h b/Software/PC_Application/LibreVNA-GUI/Util/util.h index 60b280f..8363bd8 100644 --- a/Software/PC_Application/LibreVNA-GUI/Util/util.h +++ b/Software/PC_Application/LibreVNA-GUI/Util/util.h @@ -72,6 +72,14 @@ namespace Util { return brightness > 0.6 ? Qt::black : Qt::white; } + template void constrain(T &value, const T &min, const T &max) { + if(value > max) { + value = max; + } else if(value < min) { + value = min; + } + } + /* * Performs interpolation of a list of sorted values. * T: type of the elements in the list. Must contain a value by which these elements are sorted in the list. diff --git a/Software/PC_Application/LibreVNA-GUI/VNA/vna.cpp b/Software/PC_Application/LibreVNA-GUI/VNA/vna.cpp index c39d2ad..42fb01a 100644 --- a/Software/PC_Application/LibreVNA-GUI/VNA/vna.cpp +++ b/Software/PC_Application/LibreVNA-GUI/VNA/vna.cpp @@ -454,6 +454,14 @@ VNA::VNA(AppWindow *window, QString name) tb_acq->addWidget(new QLabel("IF BW:")); tb_acq->addWidget(eBandwidth); + tb_acq->addWidget(new QLabel("Dwell time:")); + acquisitionDwellTime = new SIUnitEdit("s", "um ", 3); + width = QFontMetrics(dbm->font()).horizontalAdvance("100ms") + 20; + acquisitionDwellTime->setFixedWidth(width); + connect(acquisitionDwellTime, &SIUnitEdit::valueChanged, this, &VNA::SetDwellTime); + connect(this, &VNA::dwellTimeChanged, acquisitionDwellTime, &SIUnitEdit::setValueQuiet); + tb_acq->addWidget(acquisitionDwellTime); + tb_acq->addWidget(new QLabel("Averaging:")); lAverages = new QLabel("0/"); tb_acq->addWidget(lAverages); @@ -1235,6 +1243,17 @@ void VNA::SetSourceLevel(double level) SettingsChanged(); } +void VNA::SetDwellTime(double time) { + if(time > DeviceDriver::getInfo(window->getDevice()).Limits.VNA.maxDwellTime) { + time = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.maxDwellTime; + } else if(time < DeviceDriver::getInfo(window->getDevice()).Limits.VNA.minDwellTime) { + time = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.minDwellTime; + } + emit dwellTimeChanged(time); + settings.dwellTime = time; + SettingsChanged(); +} + void VNA::SetStartPower(double level) { settings.Power.start = level; @@ -1652,6 +1671,37 @@ void VNA::UpdateCalWidget() calLabel->setToolTip(getCalToolTip()); } +void VNA::ConstrainAllSettings() +{ + auto maxFreq = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.maxFreq; + auto minFreq = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.minFreq; + auto maxPower = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.maxdBm; + auto minPower = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.mindBm; + auto maxIFBW = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.maxIFBW; + auto minIFBW = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.minIFBW; + auto maxDwell = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.maxDwellTime; + auto minDwell = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.minDwellTime; + auto maxPoints = DeviceDriver::getInfo(window->getDevice()).Limits.VNA.maxPoints; + Util::constrain(settings.Freq.start, minFreq, maxFreq); + Util::constrain(settings.Freq.stop, minFreq, maxFreq); + Util::constrain(settings.Freq.excitation_power, minPower, maxPower); + Util::constrain(settings.bandwidth, minIFBW, maxIFBW); + Util::constrain(settings.dwellTime, minDwell, maxDwell); + Util::constrain(settings.npoints, (unsigned int) 0, maxPoints); + Util::constrain(settings.Power.frequency, minFreq, maxFreq); + Util::constrain(settings.Power.start, minPower, maxPower); + Util::constrain(settings.Power.stop, minPower, maxPower); + emit startFreqChanged(settings.Freq.start); + emit stopFreqChanged(settings.Freq.stop); + emit sourceLevelChanged(settings.Freq.excitation_power); + emit IFBandwidthChanged(settings.bandwidth); + emit dwellTimeChanged(settings.dwellTime); + emit pointsChanged(settings.npoints); + emit powerSweepFrequencyChanged(settings.Power.frequency); + emit startPowerChanged(settings.Power.start); + emit stopPowerChanged(settings.Power.stop); +} + void VNA::createDefaultTracesAndGraphs(int ports) { auto getDefaultColor = [](int ports, int i, int j)->QColor { @@ -1780,6 +1830,16 @@ void VNA::preset() createDefaultTracesAndGraphs(DeviceDriver::getInfo(window->getDevice()).Limits.VNA.ports); } +void VNA::deviceInfoUpdated() +{ + if(DeviceDriver::getInfo(window->getDevice()).supportedFeatures.count(DeviceDriver::Feature::VNADwellTime)) { + acquisitionDwellTime->setEnabled(true); + } else { + acquisitionDwellTime->setEnabled(false); + } + ConstrainAllSettings(); +} + QString VNA::SweepTypeToString(VNA::SweepType sw) { switch(sw) { @@ -1904,6 +1964,7 @@ void VNA::ConfigureDevice(bool resetTraces, std::function cb) s.dBmStop = stop; s.logSweep = false; } + s.dwellTime = settings.dwellTime; if(window->getDevice() && isActive) { window->getDevice()->setVNA(s, [=](bool res){ // device received command, reset traces now diff --git a/Software/PC_Application/LibreVNA-GUI/VNA/vna.h b/Software/PC_Application/LibreVNA-GUI/VNA/vna.h index 5db88a6..1e65e1a 100644 --- a/Software/PC_Application/LibreVNA-GUI/VNA/vna.h +++ b/Software/PC_Application/LibreVNA-GUI/VNA/vna.h @@ -38,6 +38,8 @@ public: void preset() override; + virtual void deviceInfoUpdated() override; + QList getImportOptions() override { return importActions;} QList getExportOptions() override { return exportActions;} @@ -72,6 +74,7 @@ public: } Power; unsigned int npoints; double bandwidth; + double dwellTime; std::vector excitedPorts; // if the number of points is higher than supported by the hardware, the sweep has to be segmented into multiple parts int segments; @@ -109,6 +112,7 @@ private slots: void SetLogSweep(bool log); // Acquisition control void SetSourceLevel(double level); + void SetDwellTime(double time); // Power sweep settings void SetStartPower(double level); void SetStopPower(double level); @@ -135,6 +139,7 @@ private: void LoadSweepSettings(); void StoreSweepSettings(); void UpdateCalWidget(); + void ConstrainAllSettings(); void createDefaultTracesAndGraphs(int ports); private slots: @@ -155,6 +160,9 @@ private: QTimer configurationTimer; bool configurationTimerResetTraces; + // Toolbar elements + SIUnitEdit *acquisitionDwellTime; + // Calibration Calibration cal; bool changingSettings; @@ -205,6 +213,7 @@ signals: void pointsChanged(unsigned int points); void IFBandwidthChanged(double bandwidth); void averagingChanged(unsigned int averages); + void dwellTimeChanged(double time); void startPowerChanged(double level); void stopPowerChanged(double level); diff --git a/Software/VNA_embedded/Application/App.cpp b/Software/VNA_embedded/Application/App.cpp index c085473..62ad231 100644 --- a/Software/VNA_embedded/Application/App.cpp +++ b/Software/VNA_embedded/Application/App.cpp @@ -268,9 +268,7 @@ inline void App_Process() { { Protocol::PacketInfo send; send.type = Protocol::PacketType::DeviceConfiguration; - send.deviceConfig.V1.IF1 = HW::getIF1(); - send.deviceConfig.V1.ADCprescaler = HW::getADCPrescaler(); - send.deviceConfig.V1.DFTphaseInc = HW::getDFTPhaseInc(); + send.deviceConfig = HW::getDeviceConfig(); Communication::Send(send); } break; @@ -334,7 +332,50 @@ inline void App_Process() { } } +#include "HW_HAL.hpp" + void App_Start() { App_Init(); + +// uint32_t LO2_1 = 61750000; +// uint32_t LO2_2 = 61752000; +// +// HWHAL::Si5351.Enable(HWHAL::SiChannel::Port1LO2); +// HWHAL::Si5351.Enable(HWHAL::SiChannel::Port2LO2); +// HWHAL::Si5351.Enable(HWHAL::SiChannel::RefLO2); +// +//// HWHAL::Si5351.Disable(HWHAL::SiChannel::Port1LO2); +//// HWHAL::Si5351.Disable(HWHAL::SiChannel::Port2LO2); +//// HWHAL::Si5351.Disable(HWHAL::SiChannel::RefLO2); +// +//// FPGA::Enable(FPGA::Periphery::Port1Mixer); +//// FPGA::Enable(FPGA::Periphery::Port2Mixer); +//// FPGA::Enable(FPGA::Periphery::RefMixer); +// +// uint32_t i=64000000; +// HWHAL::Si5351.SetCLK(HWHAL::SiChannel::Port1LO2, i, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); +// HWHAL::Si5351.SetCLK(HWHAL::SiChannel::Port2LO2, i, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); +// HWHAL::Si5351.SetCLK(HWHAL::SiChannel::RefLO2, i, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); +// HWHAL::Si5351.ResetPLL(Si5351C::PLL::B); +// while(1) { +// for(i=61000000;i<62000000;i++) { +// LOG_INFO("Setting LO2=%lu", i); +// HWHAL::Si5351.SetPLL(Si5351C::PLL::B, i*13, Si5351C::PLLSource::XTAL); +//// HWHAL::Si5351.Disable(HWHAL::SiChannel::Port1LO2); +//// HWHAL::Si5351.Disable(HWHAL::SiChannel::Port2LO2); +//// HWHAL::Si5351.Disable(HWHAL::SiChannel::RefLO2); +//// HWHAL::Si5351.SetCLK(HWHAL::SiChannel::Port1LO2, i, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); +//// HWHAL::Si5351.SetCLK(HWHAL::SiChannel::Port2LO2, i, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); +//// HWHAL::Si5351.SetCLK(HWHAL::SiChannel::RefLO2, i, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); +// +//// HWHAL::Si5351.Enable(HWHAL::SiChannel::Port1LO2); +//// HWHAL::Si5351.Enable(HWHAL::SiChannel::Port2LO2); +//// HWHAL::Si5351.Enable(HWHAL::SiChannel::RefLO2); +//// HWHAL::Si5351.ResetPLL(Si5351C::PLL::B); +//// HWHAL::Si5351.WaitForLock(Si5351C::PLL::B, 10); +// vTaskDelay(1); +// } +// } + App_Process(); } diff --git a/Software/VNA_embedded/Application/Communication/Protocol.hpp b/Software/VNA_embedded/Application/Communication/Protocol.hpp index b84f7ef..ca28602 100644 --- a/Software/VNA_embedded/Application/Communication/Protocol.hpp +++ b/Software/VNA_embedded/Application/Communication/Protocol.hpp @@ -10,7 +10,7 @@ using namespace PacketConstants; namespace Protocol { -static constexpr uint16_t Version = 13; +static constexpr uint16_t Version = 14; #pragma pack(push, 1) @@ -180,6 +180,7 @@ using SweepSettings = struct _sweepSettings { uint16_t unused2:1; int16_t cdbm_excitation_stop; // in 1/100 dbm + uint16_t dwell_time; // in us }; using ReferenceSettings = struct _referenceSettings { @@ -215,6 +216,8 @@ using DeviceInfo = struct _deviceInfo { uint8_t limits_maxAmplitudePoints; uint64_t limits_maxFreqHarmonic; uint8_t num_ports; + uint16_t limits_minDwellTime; + uint16_t limits_maxDwellTime; }; using DeviceStatus = struct _deviceStatus { diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp index 7f81664..0c81583 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp @@ -132,6 +132,17 @@ void FPGA::SetSamplesPerPoint(uint32_t nsamples) { WriteRegister(Reg::SamplesPerPoint, nsamples); } +void FPGA::SetSettlingTime(uint16_t us) { + // register is in multiples of 1/102.4 MHz + uint32_t value = (uint32_t) us * 512 / 5; + constexpr uint32_t maxval = 0xFFFFF; + if(value > maxval) { + value = maxval; + } + WriteRegister(Reg::SettlingTimeLow, value & 0xFFFF); + WriteRegister(Reg::SettlingTimeHigh, value >> 16); +} + void FPGA::SetupSweep(uint8_t stages, uint8_t port1_stage, uint8_t port2_stage, bool synchronize, bool syncMaster) { uint16_t value = 0x0000; value |= (uint16_t) (stages & 0x07) << 13; @@ -199,7 +210,7 @@ void FPGA::WriteMAX2871Default(uint32_t *DefaultRegs) { } void FPGA::WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceRegs, uint32_t *LORegs, - uint8_t attenuation, uint64_t frequency, SettlingTime settling, Samples samples, bool halt, LowpassFilter filter) { + uint8_t attenuation, uint64_t frequency, Samples samples, bool halt, LowpassFilter filter) { uint16_t send[7]; // select which point this sweep config is for send[0] = pointnum & 0x1FFF; @@ -222,7 +233,12 @@ void FPGA::WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceReg if (halt) { send[1] |= 0x8000; } - send[1] |= (int) settling << 13; + if(LO_N & 0x40) { + send[1] |= 0x4000; + } + if(Source_N & 0x40) { + send[1] |= 0x2000; + } send[1] |= (int) samples << 10; if(filter == LowpassFilter::Auto) { // Select source LP filter @@ -239,13 +255,13 @@ void FPGA::WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceReg send[1] |= (int) filter << 8; } send[2] = (LO_M & 0x000F) << 12 | LO_FRAC; - send[3] = LO_DIV_A << 13 | LO_VCO << 7 | LO_N << 1; + send[3] = LO_DIV_A << 13 | LO_VCO << 7 | (LO_N & 0x3F) << 1; if (lowband) { send[3] |= 0x0001; } send[4] = Source_Power << 14 | (uint16_t) attenuation << 7 | Source_M >> 5; send[5] = (Source_M & 0x001F) << 11 | Source_FRAC >> 1; - send[6] = (Source_FRAC & 0x0001) << 15 | Source_DIV_A << 12 | Source_VCO << 6 | Source_N; + send[6] = (Source_FRAC & 0x0001) << 15 | Source_DIV_A << 12 | Source_VCO << 6 | (Source_N & 0x3F); SwitchBytes(send[0]); SwitchBytes(send[1]); SwitchBytes(send[2]); diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp index db9809c..dff1af1 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp @@ -29,6 +29,8 @@ enum class Reg { MAX2871Def4MSB = 0x0F, DFTFirstBin = 0x12, DFTFreqSpacing = 0x13, + SettlingTimeLow = 0x14, + SettlingTimeHigh = 0x15, }; using SamplingResult = struct _samplingresult { @@ -82,13 +84,6 @@ enum class LowpassFilter { Auto = 0xFF, }; -enum class SettlingTime { - us20 = 0x00, - us60 = 0x01, - us180 = 0x02, - us540 = 0x03, -}; - enum class Samples { SPPRegister = 0x00, S96 = 0x01, @@ -114,6 +109,7 @@ bool Init(HaltedCallback cb = nullptr); void WriteRegister(FPGA::Reg reg, uint16_t value); void SetNumberOfPoints(uint16_t npoints); void SetSamplesPerPoint(uint32_t nsamples); +void SetSettlingTime(uint16_t us); void SetupSweep(uint8_t stages, uint8_t port1_stage, uint8_t port2_stage, bool synchronize = false, bool syncMaster = false); void Enable(Periphery p, bool enable = true); void Disable(Periphery p); @@ -124,7 +120,7 @@ void DisableInterrupt(Interrupt i); void DisableAllInterrupts(); void WriteMAX2871Default(uint32_t *DefaultRegs); void WriteSweepConfig(uint16_t pointnum, bool lowband, uint32_t *SourceRegs, uint32_t *LORegs, - uint8_t attenuation, uint64_t frequency, SettlingTime settling, Samples samples, bool halt = false, LowpassFilter filter = LowpassFilter::Auto); + uint8_t attenuation, uint64_t frequency, Samples samples, bool halt = false, LowpassFilter filter = LowpassFilter::Auto); using ReadCallback = void(*)(const SamplingResult &result); bool InitiateSampleRead(ReadCallback cb); void SetupDFT(uint32_t f_firstBin, uint32_t f_binSpacing); diff --git a/Software/VNA_embedded/Application/Drivers/Si5351C.cpp b/Software/VNA_embedded/Application/Drivers/Si5351C.cpp index fa46182..9c5f169 100644 --- a/Software/VNA_embedded/Application/Drivers/Si5351C.cpp +++ b/Software/VNA_embedded/Application/Drivers/Si5351C.cpp @@ -70,7 +70,7 @@ bool Si5351C::SetPLL(PLL pll, uint32_t frequency, PLLSource src) { FindOptimalDivider(frequency, srcFreq, c.P1, c.P2, c.P3); FreqPLL[(int) pll] = frequency; - LOG_INFO("Setting PLL %c to %luHz", pll==PLL::A ? 'A' : 'B', frequency); + LOG_DEBUG("Setting PLL %c to %luHz", pll==PLL::A ? 'A' : 'B', frequency); return WritePLLConfig(c, pll); } diff --git a/Software/VNA_embedded/Application/Generator.cpp b/Software/VNA_embedded/Application/Generator.cpp index 4bdc682..a5c3867 100644 --- a/Software/VNA_embedded/Application/Generator.cpp +++ b/Software/VNA_embedded/Application/Generator.cpp @@ -9,6 +9,11 @@ using namespace HWHAL; void Generator::Setup(Protocol::GeneratorSettings g) { + // Disable 2.LO + Si5351.Disable(SiChannel::Port1LO2); + Si5351.Disable(SiChannel::Port2LO2); + Si5351.Disable(SiChannel::RefLO2); + HW::SetMode(HW::Mode::Generator); if(g.activePort == 0) { // both ports disabled, no need to configure PLLs diff --git a/Software/VNA_embedded/Application/Hardware.cpp b/Software/VNA_embedded/Application/Hardware.cpp index 6bc4a24..bf1f939 100644 --- a/Software/VNA_embedded/Application/Hardware.cpp +++ b/Software/VNA_embedded/Application/Hardware.cpp @@ -115,21 +115,25 @@ bool HW::Init() { // Both MAX2871 get a 100MHz reference // Si5351.SetBypass(SiChannel::Source, Si5351C::PLLSource::XTAL); - Si5351.SetCLK(SiChannel::Source, HW::PLLRef, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + Si5351.SetCLK(SiChannel::Source, HW::PLLRef, Si5351C::PLL::A, Si5351C::DriveStrength::mA8); Si5351.Enable(SiChannel::Source); // Si5351.SetBypass(SiChannel::LO1, Si5351C::PLLSource::XTAL); - Si5351.SetCLK(SiChannel::LO1, HW::PLLRef, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + Si5351.SetCLK(SiChannel::LO1, HW::PLLRef, Si5351C::PLL::A, Si5351C::DriveStrength::mA8); Si5351.Enable(SiChannel::LO1); // 16MHz FPGA clock - Si5351.SetCLK(SiChannel::FPGA, HW::FPGAClkInFrequency, Si5351C::PLL::A, Si5351C::DriveStrength::mA2); + Si5351.SetCLK(SiChannel::FPGA, HW::FPGAClkInFrequency, Si5351C::PLL::A, Si5351C::DriveStrength::mA8); Si5351.Enable(SiChannel::FPGA); // Generate second LO with Si5351 - Si5351.SetCLK(SiChannel::Port1LO2, IF1 - IF2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + // The 2.LO frequency is only set up here once. The frequencies chosen for DefaultLO2 and PLL B must + // have an integer divisor. When changing the 2.LO frequency after this point, the PLL B frequency is + // changed instead of modifying the clock output dividers. Otherwise, phase reversal may happen + // intermittently at one or multiple 2.LO outputs. See also https://github.com/jankae/LibreVNA/issues/280 + Si5351.SetCLK(SiChannel::Port1LO2, DefaultLO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.Enable(SiChannel::Port1LO2); - Si5351.SetCLK(SiChannel::Port2LO2, IF1 - IF2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + Si5351.SetCLK(SiChannel::Port2LO2, DefaultLO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.Enable(SiChannel::Port2LO2); - Si5351.SetCLK(SiChannel::RefLO2, IF1 - IF2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + Si5351.SetCLK(SiChannel::RefLO2, DefaultLO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.Enable(SiChannel::RefLO2); // PLL reset appears to realign phases of clock signals @@ -152,6 +156,9 @@ bool HW::Init() { // Set phase increment according to FPGA::WriteRegister(FPGA::Reg::PhaseIncrement, DFTphaseInc); + // Set default settling time + FPGA::SetSettlingTime(HW::DefaultDwellTime); + Exti::SetCallback(FPGA_INTR_GPIO_Port, FPGA_INTR_Pin, Exti::EdgeType::Rising, Exti::Pull::Down, FPGA_Interrupt); // Initialize PLLs and build VCO maps @@ -413,6 +420,14 @@ void HW::Ref::update() { } } +Si5351C::PLLSource HW::Ref::getSource() { + if(extRefInUse) { + return Si5351C::PLLSource::CLKIN; + } else { + return Si5351C::PLLSource::XTAL; + } +} + void HW::setAcquisitionFrequencies(Protocol::DeviceConfig s) { IF1 = s.V1.IF1; ADCprescaler = s.V1.ADCprescaler; @@ -422,6 +437,14 @@ void HW::setAcquisitionFrequencies(Protocol::DeviceConfig s) { ADCsamplerate = ADCrate; } +Protocol::DeviceConfig HW::getDeviceConfig() { + Protocol::DeviceConfig s; + s.V1.ADCprescaler = ADCprescaler; + s.V1.DFTphaseInc = DFTphaseInc; + s.V1.IF1 = IF1; + return s; +} + uint32_t HW::getIF1() { return IF1; } diff --git a/Software/VNA_embedded/Application/Hardware.hpp b/Software/VNA_embedded/Application/Hardware.hpp index 44bf31c..77cbb59 100644 --- a/Software/VNA_embedded/Application/Hardware.hpp +++ b/Software/VNA_embedded/Application/Hardware.hpp @@ -32,7 +32,6 @@ static constexpr uint32_t TCXOFrequency = 26000000; static constexpr uint32_t ExtRefInFrequency = 10000000; static constexpr uint32_t ExtRefOut1Frequency = 10000000; static constexpr uint32_t ExtRefOut2Frequency = 10000000; -static constexpr uint32_t SI5351CPLLAlignedFrequency = 832000000; static constexpr uint32_t SI5351CPLLConstantFrequency = 800000000; static constexpr uint32_t FPGAClkInFrequency = 16000000; static constexpr uint32_t DefaultADCSamplerate = 800000; @@ -41,8 +40,12 @@ static constexpr uint32_t DefaultIF2 = 250000; static constexpr uint32_t LO1_minFreq = 25000000; static constexpr uint32_t MaxSamples = 130944; static constexpr uint32_t MinSamples = 16; -static constexpr uint32_t PLLRef = 104000000; +static constexpr uint32_t PLLRef = 100000000; static constexpr uint32_t BandSwitchFrequency = 25000000; +static constexpr uint32_t DefaultLO2 = DefaultIF1 - DefaultIF2; +static constexpr uint8_t LO2Multiplier = 13; +static constexpr uint32_t SI5351CPLLAlignedFrequency = DefaultLO2 * LO2Multiplier; +static constexpr uint16_t DefaultDwellTime = 60; static constexpr uint8_t DefaultADCprescaler = FPGA::Clockrate / DefaultADCSamplerate; static_assert(DefaultADCprescaler * DefaultADCSamplerate == FPGA::Clockrate, "ADCSamplerate can not be reached exactly"); @@ -84,6 +87,8 @@ static constexpr Protocol::DeviceInfo Info = { .limits_maxAmplitudePoints = Cal::maxPoints, .limits_maxFreqHarmonic = 18000000000, .num_ports = 2, + .limits_minDwellTime = 0, + .limits_maxDwellTime = 10239, }; enum class Mode { @@ -127,10 +132,12 @@ namespace Ref { // reference won't change until update is called void set(Protocol::ReferenceSettings s); void update(); + Si5351C::PLLSource getSource(); } // Acquisition frequency settings void setAcquisitionFrequencies(Protocol::DeviceConfig s); +Protocol::DeviceConfig getDeviceConfig(); uint32_t getIF1(); uint32_t getIF2(); uint32_t getADCRate(); diff --git a/Software/VNA_embedded/Application/Manual.cpp b/Software/VNA_embedded/Application/Manual.cpp index 7ea11df..a225e57 100644 --- a/Software/VNA_embedded/Application/Manual.cpp +++ b/Software/VNA_embedded/Application/Manual.cpp @@ -32,13 +32,12 @@ void Manual::Setup(Protocol::ManualControl m) { // Configure LO2 if(m.V1.LO2EN) { // Generate second LO with Si5351 - Si5351.SetCLK(SiChannel::Port1LO2, m.V1.LO2Frequency, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.Enable(SiChannel::Port1LO2); - Si5351.SetCLK(SiChannel::Port2LO2, m.V1.LO2Frequency, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.Enable(SiChannel::Port2LO2); - Si5351.SetCLK(SiChannel::RefLO2, m.V1.LO2Frequency, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); Si5351.Enable(SiChannel::RefLO2); + Si5351.SetPLL(Si5351C::PLL::B, m.V1.LO2Frequency*HW::LO2Multiplier, HW::Ref::getSource()); + // PLL reset appears to realign phases of clock signals Si5351.ResetPLL(Si5351C::PLL::B); } else { @@ -54,8 +53,7 @@ void Manual::Setup(Protocol::ManualControl m) { // Configure single sweep point FPGA::WriteSweepConfig(0, !m.V1.SourceHighband, Source.GetRegisters(), - LO1.GetRegisters(), m.V1.attenuator, 0, FPGA::SettlingTime::us60, - FPGA::Samples::SPPRegister, 0, + LO1.GetRegisters(), m.V1.attenuator, 0, FPGA::Samples::SPPRegister, 0, (FPGA::LowpassFilter) m.V1.SourceHighLowpass); FPGA::SetWindow((FPGA::Window) m.V1.WindowType); diff --git a/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp b/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp index 640357d..867d7f9 100644 --- a/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp +++ b/Software/VNA_embedded/Application/SpectrumAnalyzer.cpp @@ -158,8 +158,7 @@ static void StartNextSample() { // only adjust LO2 PLL if necessary (if the deviation is significantly less than the RBW it does not matter) if((uint32_t) abs(LO2freq - lastLO2) > actualRBW / 100) { - Si5351.SetCLK(SiChannel::Port1LO2, LO2freq, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); - Si5351.SetCLK(SiChannel::Port2LO2, LO2freq, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + Si5351.SetPLL(Si5351C::PLL::B, LO2freq*HW::LO2Multiplier, HW::Ref::getSource()); lastLO2 = LO2freq; } if (s.UseDFT) { @@ -175,7 +174,7 @@ static void StartNextSample() { // Configure the sampling in the FPGA FPGA::WriteSweepConfig(0, trackingLowband, Source.GetRegisters(), LO1.GetRegisters(), attenuator, - trackingFreq, FPGA::SettlingTime::us60, FPGA::Samples::SPPRegister, 0, + trackingFreq, FPGA::Samples::SPPRegister, 0, FPGA::LowpassFilter::Auto); if(firstSample && (signalIDstep == 0)) { diff --git a/Software/VNA_embedded/Application/VNA.cpp b/Software/VNA_embedded/Application/VNA.cpp index b0d7836..966a683 100644 --- a/Software/VNA_embedded/Application/VNA.cpp +++ b/Software/VNA_embedded/Application/VNA.cpp @@ -132,6 +132,8 @@ bool VNA::Setup(Protocol::SweepSettings s) { // has to be one less than actual number of samples FPGA::SetSamplesPerPoint(samplesPerPoint); + FPGA::SetSettlingTime(s.dwell_time); + // reset unlevel flag if it was set from a previous sweep/mode HW::SetOutputUnlevel(false); // Start with average level @@ -161,9 +163,10 @@ bool VNA::Setup(Protocol::SweepSettings s) { FPGA::WriteMAX2871Default(Source.GetRegisters()); last_LO2 = HW::getIF1() - HW::getIF2(); - Si5351.SetCLK(SiChannel::Port1LO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); - Si5351.SetCLK(SiChannel::Port2LO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); - Si5351.SetCLK(SiChannel::RefLO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + Si5351.Enable(SiChannel::Port1LO2); + Si5351.Enable(SiChannel::Port2LO2); + Si5351.Enable(SiChannel::RefLO2); + Si5351.SetPLL(Si5351C::PLL::B, last_LO2*HW::LO2Multiplier, HW::Ref::getSource()); Si5351.ResetPLL(Si5351C::PLL::B); Si5351.WaitForLock(Si5351C::PLL::B, 10); @@ -193,9 +196,14 @@ bool VNA::Setup(Protocol::SweepSettings s) { // SetFrequency only manipulates the register content in RAM, no SPI communication is done. // No mode-switch of FPGA necessary here. setPLLFrequencies(freq); - if(s.suppressPeaks) { - if(needs2LOshift(freq, last_LO2, actualBandwidth, &last_LO2)) { + uint32_t new_LO2; + auto needs_shift = needs2LOshift(freq, last_LO2, actualBandwidth, &new_LO2); + if(needs_shift) { + if(s.suppressPeaks) { needs_halt = true; + last_LO2 = new_LO2; + } else { + LOG_WARN("Point at f=%lu%06lu needs an LO shift but the feature is disabled. This will cause a peak.", (uint32_t) (freq/1000000), (uint32_t) (freq%1000000)); } } if (last_lowband && !lowband) { @@ -232,7 +240,7 @@ bool VNA::Setup(Protocol::SweepSettings s) { } FPGA::WriteSweepConfig(i, lowband, Source.GetRegisters(), - LO1.GetRegisters(), attenuator, freq, FPGA::SettlingTime::us60, + LO1.GetRegisters(), attenuator, freq, FPGA::Samples::SPPRegister, needs_halt); last_lowband = lowband; } @@ -428,9 +436,7 @@ void VNA::SweepHalted() { // is required to determine the need for a 2.LO shift setPLLFrequencies(frequency); if(needs2LOshift(frequency, last_LO2, actualBandwidth, &last_LO2)) { - Si5351.SetCLK(SiChannel::Port1LO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); - Si5351.SetCLK(SiChannel::Port2LO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); - Si5351.SetCLK(SiChannel::RefLO2, last_LO2, Si5351C::PLL::B, Si5351C::DriveStrength::mA2); + Si5351.SetPLL(Si5351C::PLL::B, last_LO2*HW::LO2Multiplier, HW::Ref::getSource()); Si5351.ResetPLL(Si5351C::PLL::B); Si5351.WaitForLock(Si5351C::PLL::B, 10); // PLL reset causes the 2.LO to turn off briefly and then ramp on back, needs delay before next point diff --git a/Software/VNA_embedded/Src/main.c b/Software/VNA_embedded/Src/main.c index df77ab7..1ae5c72 100644 --- a/Software/VNA_embedded/Src/main.c +++ b/Software/VNA_embedded/Src/main.c @@ -118,6 +118,7 @@ int main(void) /* Initialize all configured peripherals */ MX_GPIO_Init(); MX_DMA_Init(); + MX_I2C2_Init(); MX_SPI1_Init(); MX_SPI2_Init(); MX_UCPD1_Init(); @@ -192,7 +193,7 @@ void SystemClock_Config(void) RCC_OscInitStruct.PLL.PLLState = RCC_PLL_ON; RCC_OscInitStruct.PLL.PLLSource = RCC_PLLSOURCE_HSI; RCC_OscInitStruct.PLL.PLLM = RCC_PLLM_DIV4; - RCC_OscInitStruct.PLL.PLLN = 80; + RCC_OscInitStruct.PLL.PLLN = 85; RCC_OscInitStruct.PLL.PLLP = RCC_PLLP_DIV2; RCC_OscInitStruct.PLL.PLLQ = RCC_PLLQ_DIV4; RCC_OscInitStruct.PLL.PLLR = RCC_PLLR_DIV2; @@ -296,7 +297,7 @@ static void MX_I2C2_Init(void) /* USER CODE END I2C2_Init 1 */ hi2c2.Instance = I2C2; - hi2c2.Init.Timing = 0x00F07BFF; + hi2c2.Init.Timing = 0x10802D9B; hi2c2.Init.OwnAddress1 = 0; hi2c2.Init.AddressingMode = I2C_ADDRESSINGMODE_7BIT; hi2c2.Init.DualAddressMode = I2C_DUALADDRESS_DISABLE; diff --git a/Software/VNA_embedded/Src/stm32g4xx_it.c b/Software/VNA_embedded/Src/stm32g4xx_it.c index fde49d0..24f3fcb 100644 --- a/Software/VNA_embedded/Src/stm32g4xx_it.c +++ b/Software/VNA_embedded/Src/stm32g4xx_it.c @@ -73,7 +73,7 @@ extern TIM_HandleTypeDef htim17; void NMI_Handler(void) { /* USER CODE BEGIN NonMaskableInt_IRQn 0 */ - +#define void __weak void /* USER CODE END NonMaskableInt_IRQn 0 */ /* USER CODE BEGIN NonMaskableInt_IRQn 1 */ while (1) @@ -85,17 +85,17 @@ void NMI_Handler(void) /** * @brief This function handles Hard fault interrupt. */ -//void HardFault_Handler(void) -//{ -// /* USER CODE BEGIN HardFault_IRQn 0 */ -// -// /* USER CODE END HardFault_IRQn 0 */ -// while (1) -// { -// /* USER CODE BEGIN W1_HardFault_IRQn 0 */ -// /* USER CODE END W1_HardFault_IRQn 0 */ -// } -//} +void HardFault_Handler(void) +{ + /* USER CODE BEGIN HardFault_IRQn 0 */ +#undef void + /* USER CODE END HardFault_IRQn 0 */ + while (1) + { + /* USER CODE BEGIN W1_HardFault_IRQn 0 */ + /* USER CODE END W1_HardFault_IRQn 0 */ + } +} /** * @brief This function handles Memory management fault. diff --git a/Software/VNA_embedded/VNA_embedded.ioc b/Software/VNA_embedded/VNA_embedded.ioc index be31ef2..b743c66 100644 --- a/Software/VNA_embedded/VNA_embedded.ioc +++ b/Software/VNA_embedded/VNA_embedded.ioc @@ -98,7 +98,7 @@ File.Version=6 GPIO.groupedBy=Group By Peripherals I2C2.I2C_Speed_Mode=I2C_Fast I2C2.IPParameters=Timing,I2C_Speed_Mode -I2C2.Timing=0x00F07BFF +I2C2.Timing=0x10802D9B KeepUserPlacement=false Mcu.Family=STM32G4 Mcu.IP0=ADC1 @@ -338,65 +338,65 @@ ProjectManager.TargetToolchain=STM32CubeIDE ProjectManager.ToolChainLocation= ProjectManager.UnderRoot=true ProjectManager.functionlistsort=1-MX_GPIO_Init-GPIO-false-HAL-true,2-MX_DMA_Init-DMA-false-HAL-true,3-SystemClock_Config-RCC-false-HAL-false,4-MX_I2C2_Init-I2C2-false-HAL-true,5-MX_SPI1_Init-SPI1-false-HAL-true,6-MX_SPI2_Init-SPI2-false-HAL-true,7-MX_UCPD1_Init-UCPD1-false-LL-true,8-MX_USART3_UART_Init-USART3-false-HAL-true,9-MX_USB_PCD_Init-USB-false-HAL-true,10-MX_TIM1_Init-TIM1-false-HAL-true,11-MX_TIM2_Init-TIM2-false-HAL-true,12-MX_ADC1_Init-ADC1-false-HAL-true -RCC.ADC12Freq_Value=160000000 -RCC.AHBFreq_Value=160000000 -RCC.APB1Freq_Value=160000000 -RCC.APB1TimFreq_Value=160000000 -RCC.APB2Freq_Value=160000000 -RCC.APB2TimFreq_Value=160000000 +RCC.ADC12Freq_Value=170000000 +RCC.AHBFreq_Value=170000000 +RCC.APB1Freq_Value=170000000 +RCC.APB1TimFreq_Value=170000000 +RCC.APB2Freq_Value=170000000 +RCC.APB2TimFreq_Value=170000000 RCC.CK48CLockSelection=RCC_USBCLKSOURCE_HSI48 RCC.CRSFreq_Value=48000000 -RCC.CortexFreq_Value=160000000 +RCC.CortexFreq_Value=170000000 RCC.EXTERNAL_CLOCK_VALUE=12288000 -RCC.FCLKCortexFreq_Value=160000000 -RCC.FDCANFreq_Value=160000000 +RCC.FCLKCortexFreq_Value=170000000 +RCC.FDCANFreq_Value=170000000 RCC.FamilyName=M -RCC.HCLKFreq_Value=160000000 +RCC.HCLKFreq_Value=170000000 RCC.HSE_VALUE=8000000 RCC.HSI48_VALUE=48000000 RCC.HSI_VALUE=16000000 -RCC.I2C1Freq_Value=160000000 -RCC.I2C2Freq_Value=160000000 -RCC.I2C3Freq_Value=160000000 -RCC.I2SFreq_Value=160000000 +RCC.I2C1Freq_Value=170000000 +RCC.I2C2Freq_Value=170000000 +RCC.I2C3Freq_Value=170000000 +RCC.I2SFreq_Value=170000000 RCC.IPParameters=ADC12Freq_Value,AHBFreq_Value,APB1Freq_Value,APB1TimFreq_Value,APB2Freq_Value,APB2TimFreq_Value,CK48CLockSelection,CRSFreq_Value,CortexFreq_Value,EXTERNAL_CLOCK_VALUE,FCLKCortexFreq_Value,FDCANFreq_Value,FamilyName,HCLKFreq_Value,HSE_VALUE,HSI48_VALUE,HSI_VALUE,I2C1Freq_Value,I2C2Freq_Value,I2C3Freq_Value,I2SFreq_Value,LPTIM1Freq_Value,LPUART1Freq_Value,LSCOPinFreq_Value,LSE_VALUE,LSI_VALUE,MCO1PinFreq_Value,PLLM,PLLN,PLLPoutputFreq_Value,PLLQ,PLLQoutputFreq_Value,PLLRCLKFreq_Value,PWRFreq_Value,RNGFreq_Value,SAI1Freq_Value,SYSCLKFreq_VALUE,SYSCLKSource,UART4Freq_Value,USART1Freq_Value,USART2Freq_Value,USART3Freq_Value,USBFreq_Value,VCOInputFreq_Value,VCOOutputFreq_Value -RCC.LPTIM1Freq_Value=160000000 -RCC.LPUART1Freq_Value=160000000 +RCC.LPTIM1Freq_Value=170000000 +RCC.LPUART1Freq_Value=170000000 RCC.LSCOPinFreq_Value=32000 RCC.LSE_VALUE=32768 RCC.LSI_VALUE=32000 RCC.MCO1PinFreq_Value=16000000 RCC.PLLM=RCC_PLLM_DIV4 -RCC.PLLN=80 -RCC.PLLPoutputFreq_Value=160000000 +RCC.PLLN=85 +RCC.PLLPoutputFreq_Value=170000000 RCC.PLLQ=RCC_PLLQ_DIV4 -RCC.PLLQoutputFreq_Value=80000000 -RCC.PLLRCLKFreq_Value=160000000 -RCC.PWRFreq_Value=160000000 +RCC.PLLQoutputFreq_Value=85000000 +RCC.PLLRCLKFreq_Value=170000000 +RCC.PWRFreq_Value=170000000 RCC.RNGFreq_Value=48000000 -RCC.SAI1Freq_Value=160000000 -RCC.SYSCLKFreq_VALUE=160000000 +RCC.SAI1Freq_Value=170000000 +RCC.SYSCLKFreq_VALUE=170000000 RCC.SYSCLKSource=RCC_SYSCLKSOURCE_PLLCLK RCC.UART4Freq_Value=160000000 -RCC.USART1Freq_Value=160000000 -RCC.USART2Freq_Value=160000000 -RCC.USART3Freq_Value=160000000 +RCC.USART1Freq_Value=170000000 +RCC.USART2Freq_Value=170000000 +RCC.USART3Freq_Value=170000000 RCC.USBFreq_Value=48000000 RCC.VCOInputFreq_Value=4000000 -RCC.VCOOutputFreq_Value=320000000 +RCC.VCOOutputFreq_Value=340000000 SH.GPXTI1.0=GPIO_EXTI1 SH.GPXTI1.ConfNb=1 SH.S_TIM2_CH1.0=TIM2_CH1,PWM Generation1 CH1 SH.S_TIM2_CH1.ConfNb=1 SPI1.BaudRatePrescaler=SPI_BAUDRATEPRESCALER_4 -SPI1.CalculateBaudRate=40.0 MBits/s +SPI1.CalculateBaudRate=42.5 MBits/s SPI1.DataSize=SPI_DATASIZE_8BIT SPI1.Direction=SPI_DIRECTION_2LINES SPI1.IPParameters=VirtualType,Mode,Direction,CalculateBaudRate,BaudRatePrescaler,DataSize SPI1.Mode=SPI_MODE_MASTER SPI1.VirtualType=VM_MASTER SPI2.BaudRatePrescaler=SPI_BAUDRATEPRESCALER_4 -SPI2.CalculateBaudRate=40.0 MBits/s +SPI2.CalculateBaudRate=42.5 MBits/s SPI2.DataSize=SPI_DATASIZE_8BIT SPI2.Direction=SPI_DIRECTION_2LINES SPI2.IPParameters=VirtualType,Mode,Direction,CalculateBaudRate,BaudRatePrescaler,DataSize
  2. 49UtrB0Y-#KWUtBVwN#sy$_<*xwBt@*hNMdf?32QhTU!0cykVgp;2`cz)W zMOPf28QS|Dt991aQksf|K#?X&#{;+v2-BV}`(E8PE;#b)e1X%J?9PL2Ukxx@l-7M6 z7;2V(3r>BIME)nBlk)|9XIY=8OY+AVFJ^w1T6gYC1Xl9sX~7disAJAn9b6%Y(5rfX zr@~B3*=T?@4o+%chdtXYE|jS9)r1lkbd3mATDqGU43IB&vkS4;)Dqab<-38qAK~E=- zSYu)SHFSDFlzh}UJr9973}?0+gI@vjeYQVZ@Y~@cjfp`NXs4AaR{%bDBDar!;|zzI z?0~LzA==e)0{TTY6#zcIWqm;h-?=upx6X1sZC)m(K6|`fH-MZKCE;Y@$gKRc(KuM^ z8*WWtF0}IE>B5;4rlcuh!G41QDleQ0&8w1%h5@3zUb^MmbVq+z^Tt!!zj|PG^QQWXxSR&{IdK_a!*@_xdvuhS-wtmBZ=_>(Rw*jLp5JUmhNDV2-n(cTb?5Uy$c#8bM(Iz6I^bZzQ!hpA zJzIM}F{$*Wt>Wmnkq;z6eGq`?Oc|B?tF7~wUpSBK+;FFiH-Em(KDI;VjkR{+AODbi zpZ7hw%U{^3K@R}Fqx+bDVd$Xk;_nUDe%CP6sTh+0pX=%(8q;AplLI>`}2EA zUEB^wSnO9QHU<>GI6rpE1>-?Ml}Juve^a1q{%Ape{*U^z`PWdPup-3lqNBQu!nbNb zmYEib_eTk}GL|iJvAeu3|4nnKy4Sg!D6}HF&#%2W@eYU?jC8_(q7n~}I*vjUPqd`N zK)Bfx+HGCe1+r@fc1l45b^eyB#$BC^KkzKE>y`|;5V8B};+;H?`TMXCSQ4TvXq8E) zhkaPDj;`?tyl;a_{C#Cmd<+%%uQno%P*7*XhGQ>q6PvS+zN&*x=lY#O87+b_Vn|0{ zMMA}2`kW^*`7Wz}yetB5pjYkde{pCP!Ph$qreOrjjuqKiIcl@`D6gu93XGVs)XLB7 zMr~H3kv)bX45R_VGZH~ywIQO`T-$$Yfykw|oV9!w@s={|Z<+_o$m>n zAh4&FAi4~Ac{+41<=Xvqy5lxJl9d&4s3|KuBYRue?7vKqt{1DtKjF8(aSAxkm^0XX zbF_OedOylLe_vhyp)z`}?J9z2pyJ-}BDpNBxW-8o66>oh5IVi5%wu4KPIUPFZvFm< z6Bzke@h2^R>~wqgA0?-Ld2o>_bYJqo2S`agR+dQrY>OEGiS^NwnMLoo9o^jO%BdsI z+5Gx0bhcVktgLU%*Z~^xxrV>Ga9SAxKUrOQsJbx2)=i7ROE<^vr{Xy-jTCo~(w@gx zx&fB4|6CUrXQN}cKP@^O3g%Y1!E+n3unrjf>22?St82l9Q}IklsxO<#4(5TP{nZf5 zPAP!yAcGb?O0%D+W@e1`p}Av3r-|BpTkS5oZ>q_iM^V)q@}HxL;8#xU?i4$UkfJi!l(71~$akng>>iWXi*0l!m+{*czVw zRd}UToQyT#1i#^5Xlyrv;PsotI`pO$ZexT`$G6!ZH&j=!P3ysfkl+DAH~mbPc0Bv9Q#(_*ttzqqA2l|g4nOX}3akd6K@c$!1 z0s;cMyxJsxfiACsN?-?oysI66ofE*$!_Usk&&CGeU}NL^Paqh=50C`9S(^itSOD^1 z2aq!|wFKDF6Jl*?_HG~GoS-N3Fu-4vVZMp2DAgH zgUzf#E}s8Wf=~tXAr~OE&ifm?&`>@>0s^T3X+rj zcg(8^`H#&Kkj3Bl_5LB&9sqr| zSNX96*#7$a?>EC&g_(mL>^%R3|Lclb<)xKW`CnE3UxogECz5uxv-_8y?(cyAA3xCE+RpRe5wFU1 zb$Q(aCGhJmIQ-vGZP4GVD-O0Z|Gz$eITzsTE{Hi;+Wq$!t(~Q zyXIeuW@qgHQUN<#|Mj#0nAzFb{*UipGf)JpQF(04s|F*yS|^@Jh}XU;&08|8=80+yGX8vA;}z zBVGWj#2@q?z$*C%@c~$+{)>3o0Ibq~5IcZX<`3cku*&{HoB&q2KZpmws`v-J_EY+U zUi&Hk7rlS&pz;U3)>Qk0UTdoVL0kY_K@*m4zx5H}w5BSP|8T2Rqwd>!)_!ICtvc(_x7qb2n&-oX0`$vJl{9sqe zKk{EgEdK#tSz7%Ua=qT0m8YW>=$`|=+N}QpU+LNY1HLk{`v-ibYyStbzmoe?iu*Oy z;Z=YC@V~MJ|LOjk4E`VY>-3I)+^>h>_`2KRe;gP4>-hg5$NoC2^Phfye<6_DKge*u zhC9DLfqxQSYyLUh*N24F#R>xY$N9YyaB&C!BjJ^U>p$QteYbzWR|f9?xSZGQe>CHG zE${gc_{z@f54+b&ULeTdt^Q{j&0Han*Po<+J(sVW`XBtS-#-w@17wE0I0rTp2(hUR zX}hf!BXVcn9vAvSy{(;pO3&=Q2x)h{M@LMiugVPi1-TYW9{P^CyeUO@CAviR9=(`QsD?s>q)R94Y{HdLFm{E-%FY2Ss={o z@8k2ErmatS@fVF>;4sZ~(eL&KdY~P3!0_Il`A$s8I3Rt|ztqq@64sc6A|9dy4zpX4 zXA2;h9DUIq?61Uru@#0UD*ZGCqhK>y^Gm+d3;m@>`OATSS+K~Gk>JjqC@Rd_ypzVZ zYsi9mnLcPLCf$Z#zS{wAMByQdl0!YOT0iNaFH77MTV%9c2|5TIQp;Oa0t6PMMCQ@H zYYw-(EWS}8;UBM`DxHo%**C8eH&5`Hk}I1$4wW@>k5Iy>{5k=ml$1PS&-%)#otWPh z$uc^5(J9Y=g2yvoIYT0tszInUbV$)490DPrBP>@A8o>RMg1Qk*nIYVyXVZOcl{eJD zn5y~gUiEUeiTqek6}QzhxnZ!bt;`}(NT)SzaH71kL!8t8y_pjW9ezekg@`vb_ zCr0sq&sX6LndL#_iKCU~^2%w=20p>hZRcpev}C9mqBUgl^}_4vWsunWnBjv%!fWCJ zhl1n`?8B6y!u%Z4l74R=pSqa@_p_}e_V-o@$8 z^hYYk)3=G_lN2P&7kqUe)c``gdgs2Snagp8j0awzPy#JP{j}jX?-1-8m^&DLZFa43 z72!}VCTU6PF;}H@SEkKqFDaxrHdLV==QTIx`f0e{6rRp~IH+UCjV~v^3ncafX3+3| zauo#c!hhUfp6={JWD@$uI8vr1jc>NY*~eKuLOAf=whzVX&=~#*!LAi|SIhw^?iVn9 zyCJCQTDfZgZKjj|G`CnkjcP30oPW~V40kTg=1Jl~iDS!Tlp9j17<<8=Pq0;2FnGUK z(J|(?_H34eJ+EZ)rerPhEx84sv9QX2?4MVh3Ssz#oz%Cl1mRS`-Jtr9*?eyOvH@6-%!zmw%?0GptJ``s$5Q`mqhS?d?Sia zy5lQy6S9)(GS;E%_lyfuzD-4><9k=Ui*L?7Cz!XY!&L^~%Ker+_7qh|A_JjXid6nO z=4(e2roqCDp-wIi!M7CB`pS&QMLUM(nNcEsUE&62VPeN2-s|LM#cvPw0}Faz1|mzMpc@RmT}m5&1Z^ya64_s-NuU4rD4D z8aL2*p~wZuV4yvn7}s~co}o?4*C+dn9l#^J*>StEVTDZ2E@cMmk*HwOb5&c+F@A8= zF^+KY18}G4$ExX330mbyth%SRlbt32M-P$0)Qv^twq_ z!@Z><`SA#=FO|dP{Wi4M(Mye0`)(hd`AutJ3D8&$ey1VP-YT&SWN}X0)*P^_@08J0 zjKq>PC&A`QteJO1a@bB&w*8YyZ0e0WGX*189o1@~@N`Q-st{!*NK}DA8>USvvdRU{ zc!*iPJ!t^Nlw>?VJpV#}&ph_D)G9nBt~NThSJ*@Os5EcYaWmE#wkWGbMloRVL!p)M zMfMNyr^_D)IVD-|x}cWi1y1D|4eXJ}$%y3%A9x6czuOYNjhV9#S!Uspww6s3iLvJMK~FA5z$P+*U5c3aQ4!dBjUegH&!pP$DS z_~f{m(35^=kqjdy=FDB(NmigrCdA3#dz01%VB++zO;4F#aqh{I#BW{uq4pA)SomE7 ztubKKtOoHb$6O3?q=8aBmO7v8!l~+~w%II*#idMtvC*ReH+Oh4<>sOru70TQ zL_Iz3E}p`cdZ7_4(H2J(hw0?7{=g%w2C`K-<+Sq{$o)ew^oxKFb zNEhW?&8iCC^ov4cP@&qAZRWzQ;CGeDu_9W{;u=EwFbG%l@Z6T-u!Bdt6{&{ ztJF-p)ynYJC&!8w7Z%bp zti>WI`B0-tV9fDRW8fHh&NAAD_-_}(E3Y7fcKi(Z^3^0Y)-(uL!NJ}Ep3;3u3f?SK z#=Nw<|KJITn>NPq+`nG0OJ$7IaS%FYe!j5?QYA8|5(f+!!WdUfq-BOB>O-C|!^J9# zUcTX!@h#MVe}%_ zsLnv!3`tYlWS6MaBeNg3dYN(zRn}++tST|uD>Q|)^bc4tQ7p4^`sMdl2|Qe<@aD1d zc2@|EfSGsIr~{&Q)*MoZ<;5F`MICmaUwFjW_P<+o;iyUTRcC9=p?b&B<`QvC{z z^XDJAf3)g+ck^-;tMp>iwToDijk-W|NF65)gRd3xvJ@e+G3eG5gdk#~&cAlRFAs85 z>mO|#!npO{;LG?5^)Y8;MNs!f-(m1`W5wmbDoo4)63&mcj`g|V_rIy`J1HqZNRvX? zv$iBCBxe?@79qJQu^+QXP%5!YgX&RL+=-+bfB1^8Ca9EKLzV>do=RRKC~S1#TXmda zpOhf)7Jf@r)gNZfDn3%|ksmTx5HMztLu-mf#!n2xpfZVYVs~wN@TQ#cP!e^%0Ld?+ zgd#R62j4zCp$sJtxfbF_o8~pMCjOG?tXrl8$g12Jlp2_ru5Zp#T| zfAGZ!W`N&{YhwOBSmdo_$>A>DBoJ@hRFeaw)fMsqDG0R4-)_>7cwn(x?i3%CJEhtt z3#Eh|eq;vdvA2bNs%l%2)jz3B-;xHxep7c7ozA!3Z*vUh0C_NGks+!rL#TK@iAyYl-!XW-8J zy~PfhZ_`>)7Oj`^SZ%q>9S4P@a?pY%Eypp;BF9&T0?Zc&cnC7S>QtmaAJm(5FzS4x ztt;(`dj{rH)o0H@DCys+A*+r(^&F%)Es4Fpaty2W_~H^1!k!}OAB=~f;oG=Ae`7!L zZzR{4O6}%o8HTVoPHUasnKA`age!oh+00GjfbYH?626RPuUc@)7>$@fI5T38=lMt7_Zv4jXME{buf@;WBVhu% zlz3W0*PKm_LY`Zw>(8Y*2_G#ne+#n68&9Q~*L)mT${Uw=oHZ-&gmtIDS7SxQP{dQ!a)(@!or4J8@fdQ3QZSQNUIok5`jkBtch&mQLM0#EbQI93^n=lemMInef0)OZ^{2MC)xy}& z$q;MgRQm5xo83Bf-|6Qg`EW0kDd(`L*~YD?^*I~-HzlieeqI@h-cDi$P-@dwRP@pO zUdrn7iYuPPHb!51lLit<8ldC)iAm|FH75Ng74%@maPL*v;A4Xj-xpV;9y=G+k2mo42yb_2M0>UaZX zujBC!$e%A7lrNvUZSpogaFLX><1BWVuDom)w0weg$(26$Jm0C?zB;2h@xE|Z)o0X; zl26EUYDQ*kSrVQ+yrd08L6vPghDZq&&x?YtPvwQZNTpvvI)&m!AYtfqOJ)Mje+fnnbtN*gFp_)(za z%<{m@AKk0SoxwV2EUpbe-5ippJxHPpJOag^S7OCI_+Pby|R>v~5@)>Cq!+y+Z} z14|8T1e&NE3Ng)xvuVf16r@m7XdM%`QGq{5ua}J_u<)VT=giU3ABP`-Qc~#4Toj!} zg8ILQf69H~c>{Q<7rv$K2H3K4$TiwV(pD6^!uy#hH4f1ArbeR*LVrp4*i?92skGD3{qlta3b z`?ii?CW_AxTIX$U^XaNP0S9I4Fu%{pWE;AQZqM_Ewd`XHumsAyB_8iZI8zp0D%o{V#Z-=t8CS0dI=htYT^wL^_kW=l+~ zf7it@N^;d4t)rg&%^X|621B6hFZiEcm!@0z)j_G%LH9*waQV|*^Sf}Q-qdC zQ0Ig1G<9gK#v*v)7Cv_!i zEDArcSejhF6X%iHMSdDQ5Kkt395mNCe~xRwxr%Agk@AJA$vg@T-2rK1w;Jzd+u5m> zzU6sBum+ce1SUue*2TT!oJo7sgMD7vP^QLPRYfpzA17D|j_&c!ppmNh$e(8`1i*;g zCpt?f7B8u3lJhA{M$Yz|UCOAkP$;#w^(X|y9(#4$H#7rKesJC|*7?~V*&?gue=`^Q zH*G>%Wor(cQuf^Pl9!yid$2d_ROck4K6x)z@Ji&s%93t_FCRaeSZ%nGpmm|{TAJ#zSvVV zfLBL92Ng3K8gbtoTbq`rRbH&*e?jI-p7jKU%1?qMhP-~mHwSGq08UAv4n?dLFn!Cy$t^N@&u}Q^B9T9JQXUVPQDP1$)x(@pNSAwOJdLBcgo1{~@ z(Vn*Q#9&v9P=<6h!R}w@8;ukv{4B5se&cDkNHi4>VT%nKZ<3%CiIn;3e`NfG7=h#M zz+Be*`LI^HdgK@6PT1ieFA%;mtLBNp9g>ZFhxTb|6a_!Q<)RG5Vx zSq2B`6-^4{yPJAkv>o6DlRt)h*Jia({yyB?{i#-VBRIa>A@+AHSJJeAiUK^0B~{5Huq%I4Li z&60?843`P?yScOS=Vbpqvi{?gM$HGE0#=~=WyfcV?5x<8f2`LDCj?Qif=Wy|uz1>w z5F&{icR+o)_@bu;W4Ih$7?ev!rISOQq7-crX26q>Tc>#MPy~4Z-3)+yd5{~`BR4T*+u8rVqqvqzWJH(s%^w8CqFbvbNb{0;b z1QWTT4VOFLf6s*TfL++}*Aeib!sfvotT8_DJB?<+aIF(bUVrepdPRRPKy;v!hEn>y z_2wHRzKlk}#E;M+?o)^$#$4uNyQX&WT=UEfx3?$4TjHgNaU728r1}*2cozgV^T7V9 z)Y_#9kh5*@GZ!1e+X|5&jLQm>`FZe{eC(-sMWb0ze`Fd~7|Ms>$wgq*faNZX{3fF6 zg`Bg#-Q`t;r(943nb+gQsz>jlO8EypyiH{(U3}L&OB@8TM}&`SE}spFXLr%yNObvG zx!gZrJo_ubho!=8hS)P?i+H}}Q%(;Fhv}5gpyHmGW#N`_Sb0cmU!lK!={~jI&|k`z zZP`T+f8d`Jk}2N0yE>J{k1n)K+3yu|dl{U{XW78BU9E4RKs-UT6{K>3I3r($5jhud z8Cg8}ma<8T7bW-3f?3*sL%lpV_hncxkcXY1wp6NLexR+UXD;B3eEjZef_VHTs0+w% z29*p*lC9bDt`2*%Zu4H#Ixl7OMtk!kO-WM6f6p`p?is~akOpsQf2MBf{vb-`@=@P4 z(TUe=NNfa=)pRrUuTw<2n$-}v7o@=?{5TvG_iH<62DyX=jwcpiN^Yd`GHrKIM8VLR zm@tcI#>U)hYpG?er1cQp*AN zf28kHg+}-J?9`+f-|~olt$Ui zR~hNbZ1e4_a4Jgmx_jm(d1YRN-+UvJK|SXJNDTPVWafLzTsct?_|=A0Kysrw1HE#2fgc3ATx!cij~@?|2Te{NpDL6B{0J-F#8GNTRq9d_xg+b>%djyl(u@L(U+B_ zug!i3LW^vBpjHhN=NX1*0q8L3Wy8)=2LsH9a6%@w#0R&XGmls^NPos)n&gjo-XI^Z zusH5OMS=Ne%3P)IG0|hXf9DIOgA^YST|XRr)x(qahZuYYp-EK!WW5i4CJ0xYV=MSH z2Y|AQ7B81_D{~DfZE_?nd(EZ6kw;Lm~piiIbUAgCk`zfWP<7Ar+quFvt z8FNwd>fsY=TfaIvWl>gl=56k`I83wOtwc87%EQ*PhRc@>gnBb*4u0FUFR;N>^}0rt zjYArt9E;R0KP-?i*pd%1S;8d^&-;+KhFp$<*)zuY$s(RmcOgug$cEGZB2FPZsM8X*{8=N*58Fd=v*2PP zr3iQbUU%)Kqid*pRa6i~gQ>QVe12LuTwGHi1qI<U;KACRCA^5I$ec2l=wkSh^*e%M(m|=!ef6BNGk)$C}SOP`m;lqQydamCl zsDp|XA4i~vdOugI+RY7Ex!7)>+KPPqe#=@|WIh$Pi^j!VOG*M+gf-0CMKJt3`(-k4 zSUqH`v6g)IXZB(6_{S>)4mG3Y_Rvo)D$CFrGBD$P$2A|exp?kBA%Duuqk<31^s-z| z9WZtgfBP(kv{TS3$3fYIKnY-%VQ(8+K^L{m_BaSmHfwP>}>1Cj=?le92+kxe;4E-XHyJGMa$wH>a;!yOTUaJC;Qy> ztlcRK5jX3~5FJ{Ll>Hjl=RU|Oy-iEwin~3hiXeHS=DhHplJjr=T%GJ zsCjW)`XDG3<+nIGzm3qH0T%Sc;E1;wgwL73oTf2$G8T``ihB8CnS~OdEgjx)8;}A> ze_{?TWcfi|xKGop!f(un?lA;~{j~eAiEZ&}nBD068e{#SS zWv^Lk86f)iaF{%DQl|!dU-w+A!9A;(aX*az-cOH?NBe^@bE zg+Wm#7MtpJxQq6~>&)N9(v>*t2$lJDql#z^LX+P8We*j5DOSvaKDrXJ^Ncw^=1Cfe z!T6Xn1c{0?@7@k8ka9qFXBxAUe;#8uCYOmpHoJ-CGGTRDSmxWm>D-hEe%hT*M=iR3 z%oa;Den8=3&PS15WmI*S|C;gMsHjP%zFt6QV3V|eRQ%cIfyMaKg~q(|(|CUXXSk&D zG@Az1d=gByEzSA^0zWlx6m2#cp0kpxn1Cq;{b9H6bIKK|s6=iuAZ7%Je@mbWAExGw zL&CmI!L#x!tsC3#T`qSo)1JP1YCg}|sFd4gYYre_@k&qX;=9n&5RCx5=txqUbB3(LC0eijQY5w>~|p$)(kwmDjtd7hk$PI!L%^rf3HD zTe&%YX%yT3+HC1G{ss-@)`;9*B?7(;NK0$#H#FL#>`HSRq!5*9e}4x=c8t!naA0EA zS(Oq@?YAs`e-6qYDFXK{NsCCJazP1PF?c@jce z3TwzEpJKU~=P)j}Z4%mzylmTDv6N4 zH$Mo;KVkkAndCu&e|?h@nwRvXXnYs?k+^C13u;Dv85(K|cO5T}_M>i4bzh6_2Vtl6 z1_u^zyZ0&Kdx>0FE-V9VTQ_FLkC~XN-e}~?{Se~B+DpyU%iesuQ^Rd&T9qZo3L6s+ zo?mi)$WJE3FG{M*95z!=2=KlaMka(Ie{p0ha!1Oyo5Je!VLT5z|n> z4qr3Rz;$UX4-?^66EvG0FY6;~({SS+xt87m%f#xQQ2~LAB2zBt>!K3B2%?Z%L7Er< zOTy;rm(IGTe=M7vTg@qAK=qVSWH!(+f=XV74QfVX!aY?p+#-oh9^<;v%V+G+)imQq z=d0 z0S2PQI%3k1LB4?}kgt zk^j_>JjeJbTVN-e*HMsYH$6z-V{ItlYC~do49&XAdoIP<7f0&;6qJY5;gbZC>=cBFxVrrO zf6_@&-pc*V6^b9y?W0I&81rJhKkhxVY8b4SLnx-VcfQc2x5yADQqq33=}}jr<<8_gL6x8G zx^u^N^rD9c!z$|RP$WtXkw}$cy82nPbi+>I#={9pb?-;(7MZVl`hp5AY~Ezpe^0i7 zqV1y1+2N}W&Pdt&68Axh)$Ry*Lj=$SL~n5MbE+|ap^@*?2D_np{Z6wK-9O9KyaHup z-2@~eD|Rf6m5}^|ZzNYr=Txd9w@2?{gk$yGwc@VQo-{%%!Vp1<`Y(vwu9N62Qs91N7 zjE)7%JqR)Mky$AoN=YQ2&T;6~%idtlZ5>DBVd0!r&PUfraZw}5B`Qj1f0Q&GP}1~s zohv6mEVZdhSvd4X+P+o(M0jl7e1s&X~9^x){LkUDkL9&zyiPp;m3FHffn4*(UQ-u4G$BY;e zMrClh#$>`ruuFMTPL|1foPQY%ZDTI>?2$M?>6kb_x}d_h_Oq@gf3aRfxWze^U;BZG zT*Cf_;8#Rc)Y_=@7h1BsCF3S5teN?+{74p9mv1#*sWhjjMr(V`hkE>bKPS!Wkj8rG z=lAUJ6V1N{tUVo&UKC z9N`z&4^G4M-YbCUf9PugMriq>O8F`drN?W+eGW70qWE3s$P{I^eM_p_wy=M1a^m{u z=8|3#xxf$w1YsA~n$O3K`A_Gs7_~zQjx8K9IANsQyEJP@_M<|rbcdAoR(P4M9*Zca z7jdoP%VPuxP`cxaSa|8A=%AiQCSLTF~O<}r%jj02dqVh9h#Eu$>eLTQdFopgj{ z*b+zkDEnpZMKa`(i_yCDg=fsQr#UjPJVX^wfJ0?la?>OGwVg1A2F|)%9K&FiRS_43 z2W!;&jwj}if6nXXneIz3pRlKM@40k-biGtEoE`QnZW#phqa?u!!-?j9?3R|qMfLR- zzqW9W|Aj{x?v)CizZ*}ByNN>!gKM38-@t2+3HE~^j(^s$l&>B`PJ8Qo1}+Fc?#i>z zY9PZ;+Of+)wjf!luCH;Nz%!|Pg00!#;4CsgdaC=Te||2Hbo{kQUy5f9*ajmr2`>K( zT}6njl~Wxj2^jA(k57y6WAytJten6d zl&2BXDnO4uxedSlR5A59aTnd5o@|8o#C6zQ=Uq_CJ`*Fm;~g70b@cmGVUZIM;Vnrb z+%pU_f4Y{dMT#}|v9t(EkyVVtHrVCC`SZH`SZsm!FP`Pv8obRmy2qJb5owb+iVkE1 zp1K!A&hrWBGX*+y>#Zt7n^m%HxeGbY^-3q#2!@> zCea8(#AF-#m7-L<{jpB!(J>~?-Ij~m<=YZmU@7{URa(G9x2}ql^mz}WqSBF)bOU#< zF>!z711Y^fob2@C%N|8eqea|@Ou)?zR8i9{b;-epr5s&WuwBv!{j}OB|m)(`YgSj%OD|0ui z1oF3C3>dn<_I`8Xi0MSvgNGC);z2Nxf7dHy`0jPAxr*U{%zzB>9088&lnS*rjaEnppLRAij?_E- zRx@uqd>;~0ru=kq`CPs?=E424igxQMo9&A&CAdS)x=xLPy39aN&DtV5W~Fgae}kVW zQELWwW~q)OBMOI23{T?(LzgH~F!U8qV4~cFam_&9(fEWDINY@SstJ@glRZ)-@<2B3 z1W4({wn7T06u36YsHu?09wF9z3sA5WsFNSNOW5^li|dWN)7A@S>3QF-|05+sA=A#yW+B34d68 zC=kWJXa_a3nHkP=otg!m$RMo|x%3M-@!g~}aNMdLg;pX%xu+3q*i#*zw;zIv3 zM;Jk;`Kp3OP^9wg%r*HlazwKLjNP@V?lhHAr`?y!rG6{lomxEwe|rO;5i&@9?7=x^ z*93g7){jO#3V~k(w`7u@GNRPgrKI!Kyx1>CZdJ}E;=TBjH9 zE5eH_Bue-o~NE>(?&nt>z6Q0Nragl#-&u#GrV?kurl(HoH z1X`k2*FH%jte#L*rOi+uQ?~rJ{y?HI_A7B(#zIfL(!rtYe^M8TdmrUu5!aN`;`k<5 zxO(!zR;IwzG0iNUiu+~?N!fv=6!?3F6D$0ql+3RcsG^}5``{q75yDRQdHXtbao$Yp2zy^Cc(R*J@#_C^#Azq}(X&HK#e{z|^QU>7BvbQPRa!#h-k$JT0 z4ODtdBjZ5C;1Ju_meeQ zLw!Rk8Ogv~zF!o}!S2fUM$=Sm|97i$spd1O=DKqtlJR7e7W+Z6z~|?c!yfcGtPeD^ zOJB^7e=6y1w2i-6VL-Ha;O=v)-$AE7IBd&&v2y>SN7X9$z9AGYnU@R7odv&FSL0~? zSUamQGmr2Bng>45lP$1>6G`hE98BM6dXd{V9mF4L>IeC%!;j zKvs(+6TwK3@ctM491%V5V{6X>MnJuC*@r{TeL@K9SP_xq6(=eLnHQ z_NuXykO`Vz0(?Aen9^XCWaPUf8CNY*J-sr3RpZlWt!+9rLp!>ry+Bo6-;ebxU7dNV zUA^f7*W=;eDtSxm_2wHRX@rd;?_OPA zf7-;rQ$lN9)Cy}}eC<7vgQXbZ;HXkx?Obr*6pXMnijP4~_Li_4uxmWEY7cHmT|>!d ztM_pfL3>!#7PVF{1z zxO(+*>Bdff00;biH9L%tur%h_1HO6}e+T$Rr#k`bBgw-Z%H%iI$T#W}okMhV=yliq z(Y&;j3P*u6kFqC}e!JM{7Jct_%`;llA4l9d<)!OJ`FMDr!feeHB(JdCJ#%B*gj|8373STS8B=v zD9=!>gbwGiLTIB2Z`x5y+fGb0f2%B!z4MiPK&G@@RHCjp9HpXBZ*3HeD>&)o<66YI zTcZYLIiJ6UomnD2ThKsvun2Xo4lN0?sHbRrNFGY|NQJigpbmxL!>xqt%WyU!#;k7N zrXx@LHnvzcttu9O)+AP~mP0Rhyay&}xSsSNhNi`PO@68(UjW=jhn9Aae@{xt1@Eg~ z{0yWtp5=SYQ3`;(HQ&VE@Gw&Md~v+$NT``Ik`$9=YtDrIHZ@Il27!Do*D*xFlqFCq zK;RkM3h5lskt-I;Rcwc7c zSOa91N0f30`ZPxJcJVXVwF!=lnVh6rcieTw@+vXyG#=hawaUhaneW+|E7mp2cN8+> zHlJ-&ZBcE}W3Vor)#O~`q&CNdJ?O4ieCj;N)eTkLP_gm2uld!+e>{cFJ`dl&w^lG# z+qLi;@cX@z3z&>(o^mNS32N8J+`@=Yl*|RG?9lyNrm;;x6yg<;z5E)LZqMoDV?Tss zX2&`ZA%bw?Z5`pr4)S-W6Bd0|7GW}vODL8F&nY6hsAC9$E)4$ejycYwkYvBo7OG$E z1%Szf6}Q5zUk3q!W~7OX!5TGJFo=eS zt5c21|9lSTc9^V_2199YO4oRyY3<4{zZ8~Sw{#!afQH}KqF9qixk)M9Y=qJz@I}2b z%PgzM_ME(~yhZn5N+D^bW+CHdP`-r7nJ;jHjn&(z4-Mt9z=#AQF z#4m(26*0b}Dr!?mAur0^_I(sTnKG)(r>i%xK@FIw9MsU==q^>Ky?Ga_8-Zk#GKck4 zRHV`cxkRH$e~Nb`k+0zy54G-a{O(rNBQur%P?=llHMg*?7nTm+}rZInNEc4$6>ewsQujj`k~qlm^iv5>+m_^F| z{_YfVo4%L7W0cE&qmmjmRcA&;Tg+WIyb;PEe{@3qra~cb<4xtjFo;GtpXekP=hYDOWZOrLK0G)!16J{VkJOvl>r( ze?A;~&1Xg5FM{-?} z$wkG#uj;%7(apKeCTK8usL>Rr{i^!(Lv}eil1twP9~>lH*lr1G*N^Eru_)0-uwXqJ zP}z<=ne^##B_BrW%n#b*K2Fo9Bl~oMe-Y(yd#gO#?G_()HKz%AxMd%a8Tk&<5_GRg zl(s8r`>{=z2HT&YW}!~3jMTk+|8xaLD-Pgj!9Mz}X*Ma{9vNqKR#igsCEG8uMPKfT*BrFscY(9mGQCGl?|_0#JXIa$vanO} z6gQW1)Z`RXtT;|ELH?UM8gihFe;6Rz@%s*pnu;;ZB@0@#HTrlS!^*b%tWD|A!mO;s z8|U*n12Exyh~s=ClB|zGy8^Ut;o6DhZ+tlWADG15$M%t%GC9+q-EFZj{l?g)4oR=J z*t^jC8G5mJFYo%G*m@oGL>JAE*ONeB(7z&j-B`EkTJ(R!9Lmo2A-XYnfBIdXEw$kN z6SK7S&X6)Vcwz9p#FGIG_nH2hJRDMjGMJbkQ~ySU<%AFC;cYv^`aaa*dd=AfW2vt} zBe_4$V(OR@w2Q|edn?OlTk+)cO&Q+ zvCZvZS6P}&z$YxvRF(;_MJKlMZI{ zq&V9i2EF>auF%4Rw}Bf!&6LvYQ$gDxrk9fq13r3v1lrld*<24}k*&r>p>~zP_8e+X z02l(UI6$NgR($7J=b0_^*z$Qx4XN90-Q~S)J^OEXTR4C7S3!x9b#kMxmp;>Y#!F`? zg>X~EZe&6(Rkm_ee`4oM&Ph#vr=O7RbRc?R;h+~kZHLA!gzt$nS6Dwi_Z&^9&V82pi zwst1ujW<{k3f&bCP|1WtR>t~(rvzkyo!rM`#TNV7s`ZJQqy`BExxM` z(u)E%8EFfa(2#&4QG+;zIrz*n_p}B7HcG7kFotv=MLzXrj~OlgSQO&VW@GN1yjKXt zdA&O5@fGzEVv9<6#Pk-ik{I`g)mBxH&6Fx+I0O(2e>XtFO~jw$XP~KM>tRhTquI4Q z;uuJ8XQ);^FKlZ6AU$w`Abul4Uprm<^wty&M{0LHBwc$_1Mofu`;c}4Tq}r5&`%Q) zU3%_TH-c7RM}-KYdT8u|)^SGK*E|pa`A)p1QpNgHz z+k2gJnvzsWl|jS=Yy^@7+d>#v7@2tiVhRc}EX)9AW;RA#cMN;M0JHRxY*WJ(Q? zgQEr5miIp_#2i3C$cs#W90++aQ~=uoWSy)5ENlQ4PF@x+US?(hD>F0CzYM_+yZ~{a zvxNyjfe|1JwgovNQ;LD@+#D>-%pos%{&fkUHl_iv@bGZa|K$!4u>m<)7z1qq3P6ZC z$mS)ZG0+;I3O2R?LEQc;1U0`o1Y*a_#N^`Q!U(i+WCS~y3DVGi16(X1<^UCtBgnxS zWCHlzGC&b%1Nx^mMr2BWnz@DJ-wIW*DZ~Zn00O)StSyW|wvI0@PPQf>2f#~qfU1l< z;Da5=_HSePzYXXC{~Qj0g^}go;r{Xd9mvA=FK3{!G1$fqXzONSYX&g2um%A>NXj!p zTp{!TpsmSoL!h;PBlyK1=nS;51{%E>{8c&-ASt2@0KRniPk)Za4i)$;x z{SNbT%o4UHVqhB^kS)X!`FDNd77ifemveVx`e(RSwqO@qkAERk3tJP@-))#U*)gfx zTG%^*WW@imc@ZK1k(q%Y01jquE;eos0LUHyay2$*`kg?3&CL$HcZ?-%3$Yuq(iWfsGZw zz{R&ZG|FZ?m>6xrkQW!g zOV3_^08_97^6x=&asZe_evAG_oB$@#KZpy!B=!e!1DM4BARYjd#J>?2Gk{6*4`Kl@ zN&P`=04A9~=p}>vAM}zz;SYMrp!jdZ{Ss5@4|<8I{0FhV(BKbx$)NfNu>+XY{*8EE zG64Uem#U5bjaXio#svBg_!8ChKj2Fs^FQ!^g&s_{|Arhdwc5RGHQ3}oEM6QO{sX=o zt>b^dm(C%7!T%bdv6F+t%Y^@8-ph3Vh5x#jfeY0-$2B3@3|b3Ru4a-bF>kR$Ka>(tIC>9~kcnBpDkYld`?}tr@h()@ zC6ZjSfgOrnJvAj6@;HP%8o$<6AgxA2YFiu^xbL&qZKC}*B6LHO71PM%_`7bA<6NrI zR|kiO7BTvWK8O3tI{R&%Py|6RB>0AZ2*ueEsx=n#P#?03oe$D5J&dHVgbz&m%U5WU zZt4`z%s0;t^hl`_dbrFD6HFsme!1TgVc0EVi>5f_2%x4{h!c3K*!<631d@& z4kv?V-^ihbvQh%cn8fZN@p6*N*}%I7k0{D%h6%!AF+eMud6v zQH8B(rj-_Z$fJuc52tMtlLuoh(86hJTp?legD{%87X#J;b<`U_Y~>+;|Gl{Ahz^m} zi`MLLnyFfX>BH9@B?7*Pj69_~83z|^W(4@>83L#zI$Z`N&qmK+gSRK5gc=1acLRPS z2u)|2WF&`p(3?$}>0efXXM5m}l8zr@X#x@oD3488&stp~6x%DOqy;a7cyh} zwG&Z04jXD&EI(HHo0Xt{!gsS6b+u;5mH|BtyUZhtjXu$QcG31fHrAnHwoEvw(}Lo1 zyD>w?N-@V%Z4S(%g8Sr!Y4^l1xo;uwL(3h;aH{G=sPMF3yoZrm=V*s1e9-z~PNhev{Nw>uNKX%c@~~DW&&F`k>fQBGLFLE$(I;iy+nduBEv~8D&@G~xs+x=k+s#icxFl2jPE6=}; zjLYZD9ulx>dgs-Hxjm6UQxn7utEw`kmFV^klRv|;fz>kcgMP=Fe;Ybw&+BOQ%(lQ) z55eh3rksj@>QXTq@X8kR7-fZ5uHC{rlN}Fp9CpDl%rFFf7xAJKVUY5L32GnAKG4EM zdqRIzxVupZ(eAYc8y{hvuV{a(2odQ1o_O%$@-@r^S*4uu-nIcU@`d2T*9SH5gg^l; zAy&)Rkvq2npE+_nEO?4k^)W(Vkx!jZCWkWXM>bx6+{|WzA%1PC2@jT=XJZW+yc!rz zY6AxavYSvypox!<|5BOaK@h8+u?2ALiv9dq;F#!}Lu$LT+d{RuKJ8~|xw%?T-HV^v zp)OdB_zDO4Z1l8^ePPZVUW{dhJVoznvdK7s9Ss<$Anm<0uNWB4QdTcHf94|tjT)S> z_6UT3g;Azr&K~cPQ06l$(YTO-C+j0Ym$+rEeg1W6?Z}z|SXXDvPAo5 zbxy<$*2pgk&oNge8Nal#Vuo zi>g2bj7ifEh?>jap*KphOPl~?K%2jAnU}6(BdwU?s;H_tE?rAEDW|LbY7P;S8*-hCSFNA4G*dk-<=ui&qE&qL zgLbVO*!6ppWz|u#Ykr+-X9|q2TO*C_JE68Le~n!~*(N;VTX;H>Ap$F4V2%!s`{Jl+ zO05rO@~o*0?UIfuo&dB0CJx&a4Q0n^X%%|BeOXo02Wq%(U51aO5qQK|2eQ4$(lmq) z%2zRsM9Lgj`JdEqjpV(U1jjh6Fn{4*3n;tfeR$%+;dlrkC*Vz-rO#70z>!xHiftSm ze}1PZR<*6FaP`CD_{W=h!9-Myf${5*t=C(pY_zkMPxyQD^6`8IpO8(IlVoKmn%a_jwTGyG;^Nq-*zaOp=gaEg#(A60pu_OcCzW&7 zufH>3xyzsOb^)NYACwZR(!&X{L<{*Nf1;evh)nmdxLH&CZOFfB9f!2%kiZoMtaX~8y6KQ4NpvlsOw(v_ywJ5 za*8-W!cqgyBaM zHs7N*BkSF|0SH|JRTc84P~Dj0*Eg{> z;c!22KL`4ttGxKPZjqF*rcaOke~Lv!-&GI+^5KUWrUS0qtm4#3extTIb@MYB3l@u! zW#bd25sq(q=Q<+&*Y6k|mJw@Uh|eW-N*Q1(9-Dt%qVD>a__}u{ZACj&!J+N1PEr(# zmZ8W)Glj+M@V1EJTmoj6XQ_Oo2(l)}Se!VS^&Aa-k>f5BJ=5CascUiAf3sJLsrODq z>mC^}tUB6Tvj&o^3fC^~+vSL>Y{Hj>mkkO;-fz$Ld~BQQ!9=8|Pyr#?OpEKlR7Unh z+If$hRGoyPz-ukWi#&4Mh`7&P?qtB4bSd(YZKitT!cWANblI(RTqVD4!4s1zLiLGP z{GMGC)wklw2arQJ;zaKee_6Sn9yB!E?6Tx#LVlR)?9d6Ve& zTKs%0|M32nQUrw^jAf-y&%mBbFC>u8qGZ{kszA1EI;;6%8qld;*gtaOxU1ckX&LC1 zhphVrA}zL$a6EiVoXUhOzP1HuA4c-90QGIWPKBx9&#flt+8=-PfBeGek!)NOY<8%z>+(uKe zH^Pqk?`~YBo*83Mu?+Pv7o5hbuQ#1KyQTl|w%ct`7~l!W;-)vnN6|3E-K)@l`jVPfWnFi$vX3RqWI zJ|3u+tfvA&fBA*x-d+73pZ4>$I$scj#036UU_7{8&1ik8n`5)Ox%;7$HK9we{Fj`o zJzH<#>Q@6uF;QjuUd(sT3C&r`5a#?*fo+Lc;p(;o#$#6fRfqaQ-fU_jX~7E6?!40I zQvEbv_4Uue+1vmx8_kS8hSNrcHIXrQM?*&=ub8Zef4RqA#};91gLuadCg|j>4at!1 z0JINr5?{)bt&hT&&2^;=jb2>=iMb2XnklH4&xZ8X*|4hZ(;B8Pk2lW6;K<6Kox8(Z zE-jxhpdy7*vhNm0M2M!Ew>cO~9C^F+1_}72S*rp&>x8Vk8|sX)F*l!}lnSpeNI{7C z3;PTZf0n49O!!QLu}1tsiSdh%R`*O>9xL#B6A9q-u^g;`=x+ls8@~#nf zC|iHOM!-z$BrmqXcS-1W_h8l?fiKcPFTTUUfBWT6wRC)SC==uXrT2W7Q>>Ir$}Fli z<@PH9FWRlB01w3_!s*}vE=4+RGiU7O-H^Eh+9jz!5(%h+Z+8UMXHv7DvBbptTIz{e zB4uq1394XOm}Rr6Fw)hcCQPHu{&nnVOLcidCHjorj#+`?D;GDtl^NZPMMqY9o1-V8 ze=NqIg>p@IS9~6qmuju-e&|6x*2pDGY{9ecJEWL8?hc{t;*F^yt>AuHtL83KR44M$$MO zN>KjIw>v1nvqMX1I!B|kpL)-Id1Bwjf5W*ms#g(0n>~7(W{eIco?1JKABSSV2eF!7 zW=PN6XD6a8E^dO8EV(^S#e03TbXbS~3P$8ZHg#2y<5$yKO6tXXyD{+vX);zSxD*Dv zFci6C@0w7fjSDtX0Vj!b<-LStMCt=^e!hCkn!e%YyOlYaty@+{Y@)oTm3xr}f3qN| z_-~4;gJH%4tkVx|vksVyK}#E4Kg6Q+#YA(~!gp)11(qCQCJd9#ursXfE2&xambz0F zK?Ip{y9vzoM8AZJC+%30u1`_)BU8>$vEO1CDtW6ulL*KyJqtA$uL*D8 zNEBXKTNN7#+bYwYkMgO+M%ZS>g|fPh2UX()%r$utx9`gB{gl&C^CvIo#$SubO0<*U z?Q$8;%$mTFFvdiQ&+e`06_B~y3oVu%G(7p5phctNdb}LB7X#Dhio`L&e>iVmLXOxb zE{(UJJ;yu*ZQSVnxfMsY@byMe%du}EuRSczz>v9{+)~4nBp<)_bN2VZ2k&0bv-0K@ zq-hMS3pFN(?#CjM>SK{Uqy3J-{D%8Y>k3M1_Y3AUpts{ryttB>BDW6Y?eL9X9!J9mw-O<-(|Xoj4WcHAFs`d!m*(c{s##d}_bz12N5t{r4?& z$wUZJ(uZv5d;!Oz`l5&^<|DrmJYk z1U`x@O5*t?-6#$%SJScdxWSDw{{=3Kv*QP!R)4iu^$S)%e>jp&v>fk}q@!y6O{_HS zxVG%wR3`ZciPv0F1TGjdoI_wAq{gwmYP4IA+>KMGFRI5GXe^*lZ8T<9s4L{tk~TEW z!b@L0IgAM~)uMG%u}G?UN$8z+u;s24t5OnDDYUpn=4*=;Bp?~xZnP9d(jkesyp_vG zzU~ANR>o!^f8|SXZW38*It25>vp?M^3>)CWF>&LPaBwv2o>+M>k~@PtGV z&>K(2Gh_BMTQ?uqG<@hsK3Sd-b64WG#FYAA^nZ;J=+i6BUMFS?%aHwfA?mvdqpdV>O#vjo6{;rloR*!;D~0Q zlwH9v&Nmxy4X ze|TD&tgJlPv`77baLpdifty&bU+lje;D@cSQVk9vLgbL5H5B@$BB@aFX0_Mn>Bp$q zM%2DtkLmmhv@OgNR2Rnp3z|tqL2K-xT;Bnvjy$qki#<|oG)Mg+JeBchflG_e|J?()RQ`| z=WF$PvrNmBJbPs=^Wfb;2h}1$e{}v6w$b*v_~(v#49xIP$7)$@-Hk2y4`O@yQ2YX~ z#T(4Jy-tNYh03L-y91w#$hW5&#xK4JjYz|{>&YQS^Y@$W&8Mnd-YQ8q!Yyfy8)h+O zCMYgIU$ttlv_Y2!NeUs&vCY;$cyE`4eNg^b8IC$~>ppP!p049=tt0ire-;@(c@j=t z9j|Xt$;F_xiy2y{+>C+#p^7b`0Xh5C5Jn6xD~~CKPpCA zl4MTT?8z!b4}42p30tJuB>=qLnk9IfaO6iSyvA7?yXrw0W@Gfzf0(^=?OTgb4ng4| zdZJ$edCxc8C{v{n`$fl*jOM}Oob9*DdSWa6%5O*)pCXH$a9I~!hdrLYt4w;jkMWVs z9tyaYe+ri#%T;~0dfONNBR#pzv(MlAnekzoHM`Ipj?N(hKB4pTXAx=-4woTbc7HaFAFoN#Ph!f0yqkaY220PLp)Yuyb-- znJfcE6WBMWAe7ew|&OGa*!6svRl%?m{W*)H-wsu(n-8Imk842Av&jeL>1Sx{=P}| zQJFPhNbRFgvTS~X#7rZQq61^O+X+^O!ZjqVQKW!df9MJQX6bbR8dWrGZV>3CAo1;d z2(yMBaX{#2p^hd0;T(s2J2$Q(B*Wqo>2`EbT)MbBe~n0{Ea;ayFS@w$4an@OdT;0}Rl zjZFt~e~mYQX$SR76a@#k3P{Gqcl(JGd#!?YRyWV|b7R78QNH!@Le34H4V!=~uKOEQ ztL|Q;CkgKtp7KSDX{I=@uM>HDwE zno3FZ{cv$P>pq2ZuU9(L>7U7a_^;ixcZgH&f4&=<*U5D#!qT!s$hMm?ipwFRfRraURnP^hm#Rq`l@okd^I1l ze;Psr%*0U_gl$S9SOX%5Cf^c{Rjix-9iLX&HW~?s?i*vka8_te#NF5qp)2v@urH`tjZA~3< zW?=ZTF87A9PxZ}*JAQ?sFQTP!HN8?g@YB|wS$Q^$xeR=D$jQsdhL%?t7XMnvy8|;W zJIfII&DO93EHEHAX~VpeF8ziA|u#qU@KS83-i`$j)P~SS`Z;GKOeA(DPAUkvsxmTm{hOX;}IEoL4i!j3EeSTU+ zJI>f3I&^+n5lf(fiH!6&#s=UpwmiEtCaFiSo(1={|C< z*8ayr6FPiXazTKc?k%fd*B6|1a4zgf2#Ov3e0W|fQi~5~uTQbSPdk=p^Bh26R$sd# zi=a5{XVIE;3%Q_O8ZFuVA$ht>tFPl1>6usAlK!L%7U}n?3nhN_e_>JsVyO>jvWW|; z#KY9O%vHf58Y+G@(i~bj8+kBWU4z6v;+t;wM$pIEShDTuHx2qBQmix27sR znf39xAyd()PQ%>~u4nS+PaRwLb*{pa>N%fdP-9&bv24(V&No{%8{`W3;Z?1K=YrSX zTaSQ|*GtV&T%HfEf1FMVS0~n584oEMm%2#G7b0(t<)KyXj@`_h7KMAemA64T?S%Y! z7Qof6rjbpXWI|xnff-Bc7jXIzaL$3Qu;JTY$eq_~1tos%8P?3kr;>&&K} zGNXt4+NXc9t-_!7Ip_xL_*!zY8whzH)lIuGuVh0FMJC!weJ_+13@% zgW6uJ80}Gu?`8n-(Isvr#qo(w8qSuoW)tWLk1tT@^Lg{CRsx#62U642{e_N}*r;vE z-KwR+Na>cyf4A=XnLm3IN3>uW1%O~=W$m+$j9K?CM1B~693oUF-V-F_3Uc!K@?feP z_SMWt_5^k3k>?~2n*Qx$ySP=S`V{&i<1gzA+gc(aoP96wF1s=mQ1gaie zWb5K3qV+@_x}bmhq|UWerIB{=4k=KTZg33kbhFS2PZnljdOlUFLOn%C@dvqZT{5dZo$qgIKd>O zsm-My@FhXal8@tj6>}5c4BF8eAfsng+D3dK7mgyR*60PHCT`u;x@Hv;#d{yte29C; zx962Tf9t&4*+}i8!?`}a8sRFR*Ki{L#BFbg@^zLU{zu=+NDh&ILjQ`s53h!c{;KZD znwjazn|9y(qOSpjf>jMHD{`+yZdQ+$2T7OB5VpHjDK9a%R2elnHDnottYP)3kq^l! z;vAay017(#p6O~iT;zMD_qAo!il!)y_YIZVe^ZDp1&JIIvOmGmoj{eL!F^?X1DviW zsbx|cBNFE`!^HNEnQ6`+l4+3Kxr&u^rS7b zf6A*UnzdM@OG3rvrCl1=Od_ZYV}Ez5=;b7e^y_;X!Qe3Mk?1h-GpyQD%klMMpXxW!vqN>} zpJ1^}7(*W#9L`a^LdGlMH9!`oCF%knJVP;A_6dpn&JM0fNzEAbkKENAy^G~wi zV={}SbE13$cx5e4;>hazute1$OpfvEb>flm=Ozg=o>@mD;{tN)M0Nyt+uq1`+~9W+ zBez2rb=8nPUf$&8=e4T2`Z*uZw&JVAPLn~Pxtpdzp#UV0_c6;++BX8qtUvDi_(nbz&upgPvh9f)rAmZ zayNveaDrg8x1{94I>Zk-(*Af-yMnuedULRBr7DCZBkxoM14m$r)~s}thlUJM>d_H+ zTXT$PKi)MljE-Y?PCY^W%Hg?-e~=9w@7;CwGTL1 z%i!TskKsU*7qudki=yjK_wmkStVSci%NQ$V#{%=%65pO=ln1m}N4v>lk54$ZE1m_H z;AQ)E$kap*(s^~N%0)zSl{1o_7@c3^8o<;cpwk;p$$Knjs_8^U_-J2*)l8e_hFhrZt=c5n~f07nMY_2`3QTbu*2=+|=B2DPa)U`Hg$O zCqd{TbE*?T3jN`jQ=5t?igqvBbZAC3l+53VM}yA#lTp%JQ7!zJDt_{0Lj(?~RNE;z z!-BUf+@N0wlF)EY{h5t&JVorQWA6l9U81rPoNt^sKu5nd16C!8e`S&}H*1Avyv?VD z;v3Ew^$g@6cUXz_!c{YKEEM>4vfM|^2or3PID*?I&&U>`j2MW6EE8!SB$=7t+?fAps=P;+HJj${Z@i99rG zqOuQr)e3H4tB{705TA(_DTrXl!>v*&kG|(E){f;x&t9oT#3SV$KjoXWNMkscxATKK z1`Z-s?Ff0$_K|0Np4%DBBoR4i_JLm+9D@p$?&Xd(JFBDMctVGbCFuEbc^2+At+{wr zmg4z(TCqh4fA)abj9q^g+Lu`2)_D=JkLW=j8cE(Ockh|-E01QbU$FRRHKh>(#aN7B zKH-<0d9ZiUF3*{5WH48wb@6;>D|2fC+nP;cH-e#a-RK5MgKsc$zx)Jni1Kbl<>U)X zHl@(_}4k+0W^wxsv#CESnv00SoCpe~ zIZu*woXa4v?at=joNs`>e?o#W>KAHrnH~27s8ViJ%{~bc+{Z5Lx54L?n_yE>CDEm&#}-sf3#3DQfff5+!9;86>bG-)e@lAn@0v)13(4f-*<@P14P97z1Csclj6)Az* z#{0F(>67s$4K4{;3yB*QiaOPAao>FdW7Rf%@q98vRJJWiqZNZ68%W#TP&=OUSQ3#= zf2r7)By`Lj$WQC57yZ&A;Iq7i_85SGEpCOKc;!UkcSMb?9}bz;3if8w9_2->PmzLOBafy$Iqk{zwt_W&5db)!+_ zWboFQCg?zU+gO_Nc`qUqLgPkFZ!fY}0WLQw5}Gx=uqeRDwTbP7e6ku*(DD5aTE zLT5~=UxmJ(h8*P?_UUhTS0L*nMd3Zzf5BXA z6@9RET2epM-!f(m$$JPozGH5C5PlzpV&2aDv381a^iY2VQ^bq!;?j658v1@f(M`R4 z1@_rHBPa3M1W!Ri7QgM;E-u#Sw*1QwF~HZ)Ug#M31+PZ#P>0Own`VqiaP~W4|90HN ziub?X)VMM8)0KSOSSsI^Ud(KYe~~q}70|7oPHuY`C(?pX>Wzh2vbJvMR!P`Hulw2@ zDvaJa=T3exjld_EeH&bj3y1J@)ZKM-EKTHZ^9yrn)Y(GiKDJ0%d@#)6!C!*)oB6## z-A*LZF*He@cGqExBx5q@qN%tI51uYV7tXWMDP6xPb#Ez(_G86YHttA8fBFXImw)}R|_^8mEs98Svab};C^ZFk6s>?|Trsv4xCOI6u5!<5R_ z=|U!q;%v!04{RjW<1o~9PS)&FUbvN8lWuArXD@sxW-q%j4WWKp?6BZJ=L93`iC%o` zj|lawYwQn0_$!M%Rzujve;_RLWGQ&|y@2&c#;A&v4iOp}b!oNgZGrml9DZ+4WIUG8 zsXytELuYnzB}&+9xvw)I&{I#E(4~ony zgc}S*KFyVIFW)hxT->nynCu`#d>|k%Jr3ux0mL%y^wmtvV!?Vtf6RnYE#G>@BK8zi zC7Btiu~b~40V1BlSK7qmu6g}xNW+{K!MOGIeUq%f9MaV3$I5~8FU|tZj1CTa65h^S+Wof_lg>R)0;4 zEw6pRbTdifb%P?pL=sv2^O3oxdLFM@rF}wAO0<9dxh2(9_}E+!0-%EWCw*YlSx{W9 z2Hc&(1AXS_2x&rtSek4+7S?l?v*PywFRwtJmDpU94F}a-e``^Jq z3-viKuL&hC?~tlsp)FbQ@Q|f)!3Hq7?ZVWt;X$zT=c!6Fr)R z#{}BAUF(`me~hOMKY3~FRE8SVeOxzI>r?!swvv=(P>PU&D{@g_31UL$6D9arWwwv> z%-xm?U0!g?Hp(RcVrRT(s;3k*Lg+-n;+CGE&UtuK_BzG~GH&?(PtDfB^<~ zcXxLQf#B{g!5sp@9fAbc5S&YP_w4RD|6g@)P1VfzbU)p1cfU`6RYO6dti~W>YG(|R zw6k?)U}0qD1&Apq$Z!Fenb{bbnOPAiDAd8u)}X)42o#ziM<=kIE$_bwVvZo7^Q(VN z9O(SYQ?RoI$hueqSl9q8oV+Ytyv)o1R%T|N{}AmQc>&@;SFkBSfe|2UXA5#dpb)dO z_izN8TR6WS^PfimwFwP?g@=cW{*Q2ghz-aQYyz|eC;*);KsK)@ngFc-l9Xq3c6X)+0Bucw6M=u$PIj;H zKvy8x8fg4V_@i)$Oh{Z8{bWeHnTF*_R@kgc;5 z!teUT!Hyu4*Rgvr{du!iwsvl|UVi~Iu&t@t?2Qe=2tUO90e=Ee8$YzmqB2y{Z-jp#DeXddwWmCa+&C z|IbqYBjo>2;lH!|-$eeu1xdPCTmRvv{=@(OaRY6@)*gQmUPbHT{JH=NcCTw-`@d8z z(4R}I05S!;*!-_o#uq%Vzjk$ehmS<_UwNHFtc++_RP6-6CxKdvuadF|8_H+Zn$Fc3@rFef&SpMSZ5 zoK5ojML;@_+5(ZMl`2ZaTkJRhp-dR{?#o*tQ}Y2e3RHh@s=B_#BsWUT5=k!EkPgMJ zFEu6E^4J7Cn#bF!&aKA7>bo4*xKz2@HgQ4UqxB-v6f;TX_`B{965XobZ4QkNucGx6 z_Cy89x(4o^lZQH^N$?F5h_l02Yp&*_Jmwa=9%Z6?8B1Y^O4I1h&?J?_?`92X9l`j8 zRgc>2#q58%eCF%*w>&C)%obS-ZXo1Dwa+$E86Vs|t*^ZbSe3mdei_Au4uI>3t71DB z{z!COa4FrvOi*pzUycIM*d`EAySHWGz0Q2QKRZV$g`oem*qBMkI77Hd zzJkJHTLCwmVGL#~6AzCvY>sL(F{1T_+2>k@Yg7F|l(!X68|#klE>HdXucR4}Q<$sDMP=wULhl4M)tg+5I`RE4=LIb?=tNh^tVYq*VtRigZvd!SM59zxDeWp+g8fV@%JedV8aKSe zTbw)rke~CB*G=-@BK=e}>r~9Y?4T|P{5;0{-q~lYt0d%XpB^SMu~RZVH3zfC*3c4H2fD^=#hWk zWo(z?Fud&Bnh!O7ZsaQtIm)?CCe6JE2RUKIxfBv5<>mxR-lv|dj%$P}^E=-}`%Lc5 z(`N)eCcfYaf7e5gH8%jfOcF@2UqMLm;O?)fD7}d1Cvxyw+!?&{zbuXoIAMQE4JSayf{72tOp$wdI^yp47(HAhT^`iPdwxro zHI%ZQ{1o4QL?LA_{$G@S#v^;MPOgKJ6wzJ4a$l%p-9d8&}C4H%=L#44y z&zn){IEZ;Hopo!>%p(D{Mnv(|!!JrIV{%H@DZt0rNPWb~4_!hcBXJX_F zNjIuIV;73V7RWGP?BlSb$(0Q0G8u=xbtpMX$4*Zenbu<;cG%I=^};|^z9uck`)mV- z57HL;@pn(*3Vo(ilr?T3Do~$J2 z&G^1oRyvJhB7>9B@>hR|G#IAr={!%++WeIAdC9G&=qx;B);p9#ObeeTKRPY2FyQ_& zd}4t!!`0^+vxuElG+Yn`YM^9h{K}z%;==bke`Tfn1iR6wh+W@go^^G1it3^w+(Hfx z-jBvU=_fku9twt{q|mhX8_k>;(MlECIw0SqRFlWbs%4bMD_Z1lJys(Rnl24xLZ#gdYm3oGFp*=# z5fh(V;dUZT@knw^_EX@C?0(q{To3E^<0@_&*_>^zwMW?%&f6*vbACajn~g1wjVSl+ zzC!tKz>eHbkCT5sNg7O+ZcEbG`Ll3L-9e^HjGVTX8-?r1@s@b3^?froCvJ#lfpCkD z!lMl{{}JJ#5e^LzUA1wMjv(LiN^(ITnwS#}ZTb+iaJnGYEn7|?UKLdR08rZ}$9%hX>8 zjAtFg{Oj%;3}P@Md2U-kfeObo;bhO7ah2%3JF}OEbmcZqd%R_P!BEVZ8X7pzokJl6 zjID{0-Yb7125q+3A&?xl^$BDBPQlW^4V}Sw1b5~GewZn_f|-zkV@f#)TZ}Qo=Fz8` z(&gBl4xB*3-e!T5ej8%NCACU;-WDxb{=Qk>NK{_C`$QHv?#OwC^iL09D$$>8ot)0? zc~RetHk%)aj0IYt60!5Q3xA;D7q&lJ(bIo$=c5yU8ycNK+HY_(6l;dXQ;g%C z+0*fjpfCkMP>J54-nQga@^CXD(;5gR^(k|I88m|XrmtZ$$SAdXA$hqU>uk{}q%Ka_)jE>hL-5Nqf={psb}pbI4@Qq8OUZG`?xpxU}+{*(Mv4 zpd!s*Pb%Lp0{Os@Wnc^Xe#dvt*&Qnz{Oa5^2NS8~kkvO%f}bXndTvU5O$efPj+j3j zFOszzXGGoG*E-^vvjtlcDW(xo1z_@b^QC{P=PYmcC*cQuWSG`2a(?ogmn4Y}>4I2B z5PCb7uggk2=tIY#j7a?O^Wa#1g-3FaXb^haOmi*vS3wv1;K(IAK-;f7zJ{j$>jfRW zIRnht67JYiEp3F&mJfBJ21-0!*OX3wf`-A&h#&&pmqJ-0Nz)|UDT=Q8$a2E*eO`Zo z;UDG_3Q;={wJt9ziT0WS*!GlMx;zdmXexFxr%#WKnyD~x3at9T{bgF<>QwB zlXtDz64SP1oMYMDn`Bf~P1nZ+gX=4p^QyOyH{;&@r3}gTi|$e&bswiZWY8n#3e4Gg5VIK86?|9t%e^ViYYp&9}v$ z3F4cP=o2h1$fA9k`6l*G>Z!&Bx9wm~1v_@eLoBYNO3P^p`#W-9%{FC3U4V=_KAPl& zzwAjDXzU90M+_xH9f(}>)07njPTkx7RqT^gS8K8xD$a{6#Hf1i+oULD>5YFbk-$Z+ ztk3#2;DvBBHjvJnW%sf1o!xLI4?HvdbA&^|TSy0kU8BUyfcv^$2(&$4s`?xsXrn8( zXPBOY%USBZ9hUO>6=L7s1J7AiOA)tQmntAPnX}?(jYHlU>@-SoU;+6%$n}&U%mg*1 z?5|2#`G>*@mEBQ|?f5$$XoP=WEGLo!<>T=Y!4(<9i&pv$qQ1nG zg+Z9%RZkz2^_rE{we)CbK*e!XVk50SYKcXoUDKH3x3#Lz&G%a z1m7+SVY&xTr)^6#CO5vT)a{1s+fMv=(;_QKxk(x!8hG&*SIs1IA@UlJXw=7#Lp)&D zMqDGc!(#S8&W}Zb#%l0<@ePgg%^L~^CTlcr=Ofsb^k1VvT>b)`06xAQ*S&s=?=jv6D`c zZOMkY=?t@4Zqb%`v!#&!)naNIi2CXbQk9rZ3gc;E+yMu=L<4{2W=@f>J1)d!{XUy8 z!OFZ+)G)A?LCey#vOYjkBh}?u!`wz^l#zipDTr%=?4mbEUJ4uB#NxDds`R%z6b$9h zRcNa7>+^nwQ~AoC11jTIyBjbLaI*?Dn$FySE`xpS!(YgCde(ArxiL_cfzDiH`a4JY zW$5mTDI%KU%9el5VJeLxHF0R_OWd=-^YF~cH^^n8xDxZNA-jeqRj{hD@3L53710N+ zCD*ewh^bn3JiPZtB_KZEU@WoWKN8mJNZNfe>eaV*LWo3;NTKMs9@+I=5l8OggbXYA zRxy%(yqWv~>WiLNUE7g3gT2xSf9!NyNXVK`##cFlmtlVuN)4ofo#A0@I3}dlIX;hq zl+RE7)1ddBlJe;u6v@~7(eh_^=2eQzIqiiw54NzlSTIGMa=15Shh61&*Yk$mycppq zQUKY8CH+QxgjvVKf`)o@pYKAKFSR7SwPyNp7H!{yUSjj&ZgWoa zVc_eqtX~Am#IJ!X`1>6thE+N+r8S&05!gA7e6eowIpi%qZXWh5eYnrwMIHa4i?`%7}kY&RI$EMIEH?n4E)|6atbe=h4U9 z+LwRcA%I2*x#&z`2(*ZO^M4vsb50F~3oV%#PFm*QBj~SmYR*{*FysePKN=1hltmNs z8hK3!6}^adYdpX&z*FY6LBj2>Uf;|gBJ^x%vWZgQ zy-obvDfPNvEA+7Y=h4#w6TKznndo9U*arFAmdFo#o08k+br&sOI-_^iji7l~CbxeL z`+-oepXZZDMpNxR?OEyUY>mW{J#~F-)Uz=3CRa)LGNhB`Lb;y>fak)ip$(>kqY?x@ zSux5HDzvJ!tsW`+gNz0W z=?Hg5E7jMt!g1?#VB>=N_YUn*W>$Y5&hk~q#!lL`sCmpGh6U1C7sGV1MDe&t870lA zLlju@QS09KL=M(&g}I?GeAt&R4D=KeUvpuxt?@}!>}Ue$8*aXkR8$n6eul_id5ebh zB%K*M)_SGF`+4LeAturf=K0fR@pYE%Z8_cncshYc>g&bu!(i=S?~uzIYT19jFEZ$X zIY_OGYWjf-h0$OtPletrp_4avRs(v>P73JWgtl%`OJlPVLPEEV++J~6v}+-Kk=n?0 zIYpRh$$Rfv0_itodoN4FmF`s=Dz0Lh3p*;9i_pIEUJ{_-Uh<-JeF7L29KcyZYO;OL zYtpf~AafyWjg&W9ZB~LT7Ab!dyaw?i5Kf3#y(o^d4iY`R3p%y67WoLJ2_H+0^~Jz z1Kcmi8oHh_xdX$`>B+M6E~To*7g;zE!gf~$$QV%es{;FISeJjK`e6@Rko9a?#DhNn zn&3BF@q8a{((JSy7nYLwOhcM8T~y1*hqZfyk>t(xT&5h%XzAau_lw2r^ik#`0PQB$ zo{S&~)kF}iyrKl7BcF<9U|$ESDyV?l7j>U$5cZ&FpM)TzrR`AGVSQV+os&^D>_2(q zv2DJnE?9njOMZW=TbYWko#Z9Xa^Q@0E+kjw`UI#Q^Lox-Xq&NI&(3&0zzQQBVW;pC zJMx0MG9M^Y#%%@9^P#KfDUcfSLorG#YDlIpCWT#0h4=S|r>rt7X2{bqS+}BpR~b95 zbm-E?TtKKh%W}J(o-oila_fIQFH;fM$Ia^V73TJ(P9}eS{xI{Tk%Pt2lPO0EIm02Y z{(OZ1PD^)r??@7AElF>A&R^}PjjLkjF7~}6MVlA#Dx2b)wSUS;yTe$^()0AOZQi>i z1pLFUmTurBziD^B!PKz>IXoU}TZ;vr?m%pMI#-JKn|lcCmRJg%{2xpHTZKm+NLNaa zL;ji=P?LX`fyOiCEGJ9Q`aC|LAHrZS{18J~Z?I>} z!m9{|B7F!7|DvXFu-y$jy}jceAQ&LE$}ks`pN&KKw)j9=GL`fxj%dmnlPvo%AD#z0 zNz`A#vQs&UZAS4Q zW9Tl$$cU^;D#4RLyxeO`6SZ_xvXX{7J6-(~(w_4ppTx$1z64m_qmVP&6Ku>z4`$?} zZlBZiqZ7F2QgMCy*T|0Vn`s6TyeA3(RY0o0Hri@j1Va!I8kAK87`y((SRmv(HGL=%EPjmI)#kh5!snm%f0S6MNY5x@Xm%W{+;>L<)klrF zA$Jv8DrJ4_3GMxc-nAdm(Ge8lfDhDmy45W2g?Z*P^w!KVueesyWW(>z1m|%w1@WOm zKOjGh0mb9f&lYPNXJdsu7h$p-W?8>3-ql8@cF(4N@px(evg%CjB8CD1RzDSsQP2fUK3_mBM}3Lz7ia zt|5fsdA?w=pxuG&^{pNvL0_5S#HzI|M+og>_D8kTlYl9EQvZ-HHfcC`L^Va^RuvVO z+?uCod!^dRUIJ)RhQ+S9iElE8+Q$pQWiFY(odZOat?NP_Gj+^R*>eBc4IWxx}MFT7T1tioi9~bw{{Ntfx zG!_N)%?!XIh{rd%NsoGzLGW%vd4;jC@l&MBR&&OgTFN>lLG!E>ClY1a<aCN_6?` zxW3QW^Z7f8E_pFdye+)bA2exM*Sw5>MlD0N;8|fi2nPpxrwF*4F;EbEEx73AKR`6H z7EN)4;&7g>R>}tp8Lu7V!+dCjbrH&;sqiEv#)EXBm2O%O)+-~a{o%xfu|lXDu^lmd zda{Q6x%t`&YOmNJ7!7L@lKGclrtc67lt#~?5U;Z2kA6s&p@;K3<+Wb>k+u_m9kAIW zJ+@7B1~mTVLcQ9`@Q29rD?0_T!Y9Ocf-U{6l%ETI3q@TypTcwqdv1n~@}wumvW7SO zoPXggMT_+FAG?WpZTo;mW66P*!i|{u*s!z5+YNRqwF2n*@18T6bjW_Bz#3Yy8eG>j z6C1yr6B##nt^*Sc`UE9Hy@u)H?17!3CX%xJ{-3`?nbxLP!`i#%0-U2l;;<=s_$ z5SaY!@qR%r!iX>>t0KP4b0P(AyT+StxiBz<63^4`@!B#s${kr-E4V7yyrD!NC}nl9 z1cY%G>>1a3K|*web(*F}^FuYBP4bv#-GonFHam#WsM-{Rr!?=v<|UJ#7VHk~4KkHJ zB+m_@>G)YZ&F;y9@rmhwgdGL4o9H8AgZYZxvk*M}c$Y>PNjUq}SM1nIf~wpFXymRe zpIlxEiG-+`9vY*_YhT&!V6D7(@dfn07mqL1I(|k;#R@luFhKzvHJ$W3iE z{mO?+Htoic*oSD5IGt2kv9gJ-Q&ByS(M_hfYg@p`dcJfC<)0GFA}%zA)?kG~dAboJ zQ3C1M97=|HBvWwPEbp3N>keJk6moZPpnUvd-oUCZ&HA0p1eTqzjVeZ#)*?T;diY%r z^&U0X12Nl115ht!Wo?mbgPfUJ7T7LY1 zn_Wge+@ag!0!ePOu-$A*9xvC>8(Wgv;d?QHyr$+pd0CCwZ>kRt z)-w)a-_xPS#Fx1-S~QW_BFkn|uCxjC;hPwtZ5CQ9E;p)oc}XYHBB2#Q*Ck(xLcJ&M z3N-GxMXVzM_`g#-!W+h|k+h2TdK|&77hKAwbIUt_atcocTd_%e3LyGI!8uxEQb_n4j{s|QBjY6^hGRCdlE!u>fthqK2&kp zwf`pcq*k;!f~x%O8t1M#W2^UDB);>hh4RtyZs^&hH@Pu2wx=3&`|EARx;ycelRDH! z5WB{P*)AiGnX;&p`?c>`oYtQd<$HkB@Z^+#*hf-@TJtgNf^HlNryo>Mn#Rj*QkXQd zxi@2;##PYJZAhDoyRPnTIs`6}n1d3i2D9qyaPtL+)_0|0^{S&+go3nb9vBFGsqP)i z_sNROBB0Du(&Z$fhu3dlFuMTfJ(4q`q#+l0+neeRboWOorViYO_DS7$3%c?*`rizH z49dv};&gXq)k;9mJq6QRX(G*!mq_Otw~?;1H8SB*EzkQp)ntn3M!0r>nF9~(~$-`!7vWMKSS0W%7Mjg^5TjjH* z`C6L2OeN21x|kHr^fk`8O+Gzi?&UkGFI*?UjjtfB@G$#zc5d^b9n*o=f*zTA%_YVw z>lkN0p2i2g_n`V#G0mC=~6gQ(Nls8fzXr+PqsO(*-AU0boP|# z$nvUE(w*>8h8HX4k#_?6Yf#UBm%7q#baaS=My$eWsgF1SQ+wR0x2u)X46~{fPpC7< z49`;PtBwJTMdMXgI2v4W?tUWm1&F3|vsNUd93P)*(le>_te`1x0;A!sBiL=;{@k`% z!J{x0iTE~T&qmk8x722c4R0q@f}TX-I5u2#q;cFg_49csW3ki+SXDfKQe~lVKYWP= z-F%GBxow*{iu@@;bg{wzLM|s$Hz85iVO(k`s-Tc)$y0&8&UUwV0%`7=j9FT{Le_nX zYT#DOk;zbo%tGvY!I9V)L+CsEN*-0#;r^G^M+wN4mQsG2$~1_2zz4BQptpZS;LX#F zfi9iC;IilX5j#_A8<1Rog#AayM&6JZ60L?qP5TfHH@!Ad=DV)pF&mQI2m%H6@Xaq| z2nIhLKDlzlUwSfzW_?I%lOI9xoS2~DMqd^SGMD(wVAPnw0n1%({5Iw*5%9-2jC!B` zS+MsECN(>%i?)1)RRAY}-k^Z$OKQIhK9+Y8Pi)S-}a^!;fV% z*lQzm3@K&2EZVW-K!yVAL&C=*KJj;c>x5!eF$lq^mid7RW@57$S0cm?^j=M)x6e={ z)1&zB#_t+iSEVj+35GJA<|SfZ{~_E`rC7o6mE*Q0U|Jf5ZxbRCom;2Z~+1@(CO zI@I-7C;AIF>cCKcrO~}JBb7qjHhy0re5nv=R;z~y$*Ga2fnPvLBQ6HOC5+z@j5};KT|9laQE-@Veo`@%niZ`5EMt=Teg&z zm49?p-N}9~WKVCvTQmN?6f|O3;r~rcl-UCP34*NicvN726Fi_!@9WfEt}u!g^9fTm zptwxThpx$uc0Muuht#svC*$I0#$h?>gX0o9 z!WS$5u~DlJ@>z@#73@6j_*}v(5kIeO2r+w%%>iCyfViep`FeWN%H@4h@9tQWaW0a= zaG+CW+laq^rNTjTzlJSlRmZdD#E@+RW+ORjjzHUsYbO{UDzqrVH;IfMTGDizaB~zp zO!kCCyeWM)G)0%CDij71hV9)})L0WF-$R3W0(Nm!hG7KCmE3y%Paw^Lm0xUH0B7LF z&1nB~drq`X%?YKX|9p&98dDF_M%WmnYWFpygjOSex)*e%6lxVuuZqowvVb6oCSa=1{hqh$iAG=WWjs_WR7v+9KY{9;UMz>&@nsuf~@aO^t%? zgUM0=zL3Q8D#T_4A)J{;kavAuCYi08CahKZZR#H;To`2JCj6MFS4rX5L%{I4w^$m2 z^^6yPYe)mn_EN?2VyHgf37lhjS&9!$C2ta49T?p*YSv>&8c_F~C%rG=y&ET!a`!im z6nk!==_cDwm#vNCkxcqQ0|-jEaNiIVWfR5%-F}5n+kK1Gi`!q9?Oj%MtjkR`;*`i_ zqqcNt?0>>IfY=JS^u)=P>GzWIIW$@nLon`tRb#cD*yxReg7pxvu3jzl4cb7JLyLJw8yzUana z-O4gmf5@EA5jp6K(bv9$Xb|^r zh-QF~&eyww(jB464DRy3*%KG1uFmeJ73PPT->T)x*>NA< z_Br8VS*(^Od8t0#yZD8|hyrdbRrg?4l$>W$^EiKf0gc&3z)1H005}zD(3kk{0VlUh zk^#S6m&ObN77;ZwHVQ9HWo~D5Xfhx-HZnDrG4KHt12{G`m%)buDSwT21ymGT+b-Q8 zB`Gk1lys+bhjfPw!vI6f5JPt;A>G|AjieymB`DG@NC--oz(4Bw&iT)G?^^e+S!-rL zZ|ygpcduc5tf|8xZ3VLgE5M*|4jxW!QGl$vwg7;en~#&5n-_O8ox`2Ujgnvv92uGNy!=L~aHwOR@AAmz=b0#sm7uqzIuEX>Kv1!7|hN8IwCBY*|O3g8hD5n})C4v=;PyFfrdC_o(uw*@;Q zZUg}x06H)b1Pu53R|pnyTR7ZFl#9#5!-Es(=*kImv5{b92Y+}#;I;s5uq)Wb9c%^o zRW9H;&=LH*FispsfUYgX^^aHwW)1fMx_|))fdd2thPomg+@Mxq7XadJfR3^nK*I?P z{bQ{5$ABI1S8D(~oIHPr`^)=RAPDrgGY|xVIXVHMUJ$4az#8HJ252a#al$>}>;NFt z>X#wV!4-z^2YM zB@1(O1ViDjIKT3fgSdb}h^~8a{T{156y^c-`E$00K&`BQm0;!O#H9y?IJ<$B<^Hlj zh;aUq*?{2y0d8(?Az@(v*ckx!1le-^3a;zr1pck$`F|xw1aksdBT4}KL9D@u z9~>W7pgR}Y<0Yv^Ji|EpS5_bP<`YeAf1}os-v7W;aj0FQ&{vo(2w*WT? z@x}B1P4nL_|2KC3it_&;_4a{CSc)eDfDiwoi<_{~*BqyB?`KRaNsCm4h?{}~1n3$?2cZNIFQruN|2 znt1k+amyf$mBVM=rNix-05h4jCM$T=<$qi{dANsgX;Yr%OlpDd)@Q%+Gu^WRKd4+^NEt=jCva? z+0b9HIQHuEmezKSpepXqifN8$y(rV5(oc-}mf15D^cZjs8myx)Q>CE9lsg%%X@!L{+3ZArt2JD1rNaDso~7oxfl>=TWWeqn<^1Po>wk8E;tR z8LAesf!@`KV33D{w}oN0@0sRIYw|`?mC5LWt@GR;~f1aI6b@ zoWEsxu{P)PF+V*)K7x#Kzf=Z!V&ORwMS0(Rk!gDW{m;IB(6a>JLw{$Bda^Eug=cSC zrM0NePmSV6g>7ncfrVDHA< zZN;*lMGC3H+}|&aWe@S${x~{^%3f*bX00fY{X_XPBh03K^DTEefuX(rRL(QG{W~Nx z(a{+@v{5lG-URa*%zs6N(-)dqfw%Vc&rapP{wTC`t6Wq*ZIpFq0bJ*&)AThqKD$8L z#T}}^=GQ(x>Zq6zkIjq}gL&9bmToIZaj&Vfj=qbjH@Dzp93Tr$vb1=Py+)}U+Sw&! zN1_;XIisa+b<-p;ZhLzs%kgml$CzVSoF?{6B}+ekwjp8YM1S89R(7;xe(=er;(=GL zO$}-)=47NSb*$+|LkQnguGO@kkgK7nBmqe@y-g$E{S>`s`;1Syp_vS&O1Lil z2`Th-8y8?1_kHn((6{;1LtBcnR+OQY5&`*F^ehMLbM!j$F$pnQL)^F+)o+ou-6|uH ze=uqc*H)T+*ve|BpYM@%y^5+eaa7z`8cijdb_6>m=zk9gUVW5N=6-EeF} zZd;hVrPz6qLU{amn3DN{^LeE7;d2yc%uW$G>YR|UQtg}j0S(i+M0^R`hS5}IxE*s-P@$X}~PhQ~ZD?5Fmvs<0! zD5=2ckNOzEBnSGdshTO925M`VTst_{fS@%RX=hTk(y%+S_PsA%K}Uum(jYw9k1|I z9yf%KM=hL1eHO~rq~A^Jo_3j#_06biB_IT4=@A<}U%=!vy0m3%x{{kBb{$71ZGRy8 zVX{7srSehVhFW`l36<<|53;3iPJfA{4u#3c!jmxLvxGF^rrK82PoD)NH*M*C0C>~l z@oc%4CK*5U%kU}XJD3mT2K zkMM#`jc%se>Q~z@@0>P`KZeabY361x?FKR9(xp7GQRL*Op2^P?tcrdWLmm5%k#mOX78f6HKh~^jjaR z+R0ohZFNaYikJlvGg&xa-@}<1H04L4q5Qt1omecX5Lc+tn3LxddzDsIN4cS3R(E=n zUfL-_LLnNJ$F}E*+)0O@zb4P_4kq;J$=kcyDHE5A@ z-0W@K=iC*-zu&!R5r0kR~osuID)}e&NL+{J